0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

STM32的國產(chǎn)替代者:靈動(dòng)微的MM32 MCU

Q4MP_gh_c472c21 ? 來源:嵌入式ARM ? 作者:嵌入式ARM ? 2021-01-26 16:29 ? 次閱讀

應(yīng)讀者要求,嵌入式ARM將繼續(xù)介紹能夠替代STM32的國產(chǎn)產(chǎn)品。今日帶來能夠完美替代STM32的產(chǎn)品是靈動(dòng)微的MM32 MCU。

MM32是一個(gè)全球化的MCU產(chǎn)品,靈動(dòng)微在上海設(shè)立芯片設(shè)計(jì)及運(yùn)營中心,借助上海晶圓代工、封裝測試完整產(chǎn)業(yè)鏈,確保靈動(dòng)MCU從研發(fā)到生產(chǎn)一條龍進(jìn)程;在南京設(shè)立軟件及方案中心,一個(gè)50人規(guī)模的團(tuán)隊(duì)充分保障MCU方案的研發(fā);深圳則建立銷售及技術(shù)支持中心,可第一時(shí)間給予客戶服務(wù)支持;此外還有香港建有海外運(yùn)營及客服務(wù)中心,在臺(tái)灣新竹的前不久剛成立東亞營銷及方案中心。這使得MM32在中國形成多據(jù)點(diǎn)、本地化布局,以及時(shí)、快速的響應(yīng)服務(wù)廣大客戶。

最新發(fā)布的MM32 MCU產(chǎn)品家族的五大產(chǎn)品系列,包括MM32 F系列通用高性能微控制器產(chǎn)品、MM32 L系列低功耗寬電壓微控制器產(chǎn)品、MM32 W系列無線微控制器產(chǎn)品、MM32 P系列超小封裝微控制器產(chǎn)品以及MM32 S系列安全加密微控制器產(chǎn)品。

根據(jù)21ic壇友火星國務(wù)卿的總結(jié),MM32擁有以下亮點(diǎn):

亮點(diǎn)一:MM32F的強(qiáng)悍之處

MM32F1主頻高達(dá)168MHz,F(xiàn)lash/SRAM高達(dá)512KB/128KB,并有豐富的接口,據(jù)悉將在第四季度供貨。

另一款MM32F0,標(biāo)準(zhǔn)主頻全面升級到72MHz,保留超頻潛力,相比通常只有48MHz主頻的MCU提升不少。另外新增MM32F031C8T6系列對客戶已經(jīng)有百K級的交付。婁方超表示,針對近期MCU市場供不應(yīng)求甚至炒貨的情況,靈動(dòng)微電子承諾只要有貨絕不存貨,準(zhǔn)時(shí)發(fā)貨,同時(shí)價(jià)格保持不變。

亮點(diǎn)二:L系列低功耗寬電壓

靈動(dòng)低功耗寬電壓MCU系列具有全球主流低功耗MCU水準(zhǔn),超寬的工作電壓, 同時(shí)MindSafe強(qiáng)大的安全功能,堅(jiān)固的代碼保護(hù)和數(shù)據(jù)流加密等。

亮點(diǎn)三:無線MCU

支持無線連接方式BLE,支持OTA(空中升級),sub 1GHz(將于2018年Q1支持)。

MM32無線系列的W0/W3產(chǎn)品,與F/L系列全部管腳兼容,并首次提出無線MCU原位替換通用MCU,同時(shí)還提倡讓無線變成標(biāo)準(zhǔn)接口理念。

亮點(diǎn)四:P系列超小封裝

亮點(diǎn)五:MindSafe技術(shù)

除此之外,靈動(dòng)MM32已經(jīng)建立了豐富成熟的生態(tài)系統(tǒng),用婁總的話說,七年時(shí)間做MCU,其中五年優(yōu)化生態(tài),可見完善的生態(tài)系統(tǒng)對MCU產(chǎn)品的重要性。這個(gè)生態(tài)系統(tǒng)包括了應(yīng)用文檔、庫函數(shù)與樣例,開發(fā)評估板、解決方案、仿真工具以及在線支持等等。

靈動(dòng)首席科學(xué)家劉強(qiáng)表示,基于靈動(dòng)MM32開發(fā)平臺(tái),傳統(tǒng)的庫函數(shù)、例程、外設(shè)得以自然融合,給開發(fā)者以極大的便利,十倍百倍的提升開發(fā)效率,有效降低開發(fā)風(fēng)險(xiǎn),并且使得開發(fā)成果易于復(fù)用、重用和維護(hù)。靈動(dòng)還將推出在全球業(yè)界領(lǐng)先的SMART敏捷開發(fā)平臺(tái),將本土MCU開發(fā)水平提升至世界水準(zhǔn)。

多說無益,直接看幾個(gè)21ic家網(wǎng)友的測評:

【MM32 eMiniBoard測評報(bào)告】+ 裸機(jī)多任務(wù)工程用戶:BinWin

首先感謝廠家和社區(qū)提供這樣一個(gè)直接體驗(yàn)產(chǎn)品的平臺(tái)和機(jī)會(huì)。希望如此大力的推廣可以收到較好的效果,加深工程師對靈動(dòng)的印象,未來更多的產(chǎn)品內(nèi)蘊(yùn)藏著靈動(dòng)微電的中國芯。

下面要看收到的物件了,整個(gè)板卡沉穩(wěn)黑色,且期間布局比較美觀整齊,接口靠近板邊,看得出設(shè)計(jì)者考慮的還是比較細(xì)致的。

另外板載MM-LINK調(diào)試器,含虛擬串口,對調(diào)試來說很是方便,一根USB線就解決了燒錄和串口打印。

加上廠商編程上位機(jī)軟件,配套調(diào)試器堪稱全家桶。EEPROM存儲(chǔ)器,CAN控制器, FLASH存儲(chǔ)器也都板載,可以進(jìn)行SPI和I2C協(xié)議的調(diào)試,三個(gè)電位器接在ADC端口上。這些組成讓板卡可以開箱即用,實(shí)現(xiàn)項(xiàng)目的初期調(diào)試。

說了這么多,看下實(shí)物照片。

e362eefc-5f63-11eb-8b86-12bb97331649.jpg

同樣給了黑色的背景

展示完了硬件,來燒錄個(gè)程序看看吧。利用定時(shí)器設(shè)計(jì)時(shí)間片任務(wù)輪詢,添加按鍵檢測,LED提示,蜂鳴器響應(yīng),停機(jī)模式觸發(fā),串口打印信息幾個(gè)任務(wù),通過這些代碼的調(diào)試體驗(yàn)改MCU的開發(fā)難度和外設(shè)易用性,也可評估低功耗特性和穩(wěn)定性。下面看主要代碼。

#include "main.h"#define TASKS_MAX 4typedef struct _TASK_COMPONENTS{uint16_t Run;                 uint16_t Timer;              uint16_t ItvTime;              void (*TaskHook)(void);   } TASK_COMPONENTS;      static void System_Task(void);static void Uart_Process(void);static void Key_Scan(void);static void AdcTemp_Samp(void);static TASK_COMPONENTS TaskComps[] ={        {0, 10, 10,         Key_Scan},        {0, 200, 200,         Uart_Process},        {0, 500, 500,         AdcTemp_Samp},    {0, 1000, 1000, System_Task},};void TaskRemarks(void){uint16_t i;for (i=0; i    {if (TaskComps[i].Timer)                 {            TaskComps[i].Timer--;         if (TaskComps[i].Timer == 0)                  {                 TaskComps[i].Timer = TaskComps[i].ItvTime;                 TaskComps[i].Run = 1;                       }        }   }}void TaskProcess(void){uint8_t i;for (i=0; i    {if (TaskComps[i].Run)              {             TaskComps[i].TaskHook();             TaskComps[i].Run = 0;           }    }  }static void System_Task(void){        bsp_LedToggle(1);}static void Uart_Process(void){//printf("hello mm32
");        bsp_LedToggle(2);}static void Key_Scan(void){uint8_t ucKeyCode;        bsp_KeyScan();        ucKeyCode = bsp_GetKey();if(ucKeyCode != KEY_NONE)        {switch (ucKeyCode)                {//stop mode ,turn off adc ,set gpio aincase KEY_DOWN_K1:printf("
into stop mode
");for(uint8_t i = 1; i < 5; i++){                                        bsp_LedOff(i);                                }                                HSI_SYSCLK();                                Sys_Stop();break;        default: bsp_LedOff(4);                    break;                }        }}static void AdcTemp_Samp(void){uint16_t adcVal;float Temp;        adcVal = ADC1_SingleChannel_Get(ADC_Channel_10);        Temp = 27.0 + (adcVal - 1800) / 5.96;printf("
cpu temp is %.2fC
",Temp);}int main(void)   {        Hal_Init();printf("
into normal mode
");for(;;){                TaskProcess();        }}

板載按鍵K3按下后進(jìn)入停機(jī)模式,這里沒有做IO的配置和ADC的關(guān)閉操作。吐槽一下,這塊板卡個(gè)人認(rèn)為特色就是USB和低功耗。然而電路上沒有可以方便測量工作電流的接口,或者有個(gè)電阻磁珠啥的可以挑開測測也行,但是看了原理圖,沒有。簡單測了下整塊的工作電流如圖,這包括了調(diào)試器電路和板載其他期間的使用。

e485ac2a-5f63-11eb-8b86-12bb97331649.jpg

上電任務(wù)開始運(yùn)行后,進(jìn)入停機(jī)模式之前,LED1以0.5hz閃爍,LED2以1hz閃爍,ADC任務(wù)采集核心溫度,串口打印如下圖,內(nèi)心涼涼。

e524bcfc-5f63-11eb-8b86-12bb97331649.png

工程結(jié)構(gòu)如下所示

e5791ca2-5f63-11eb-8b86-12bb97331649.png

整個(gè)工程的建立和調(diào)試相對于其他廠商來說還是很便利的,而且?guī)旌瘮?shù)的很多寄存器與常用的MCU比較近似,所以尋求替換的朋友們可以嘗試了。應(yīng)該說成本敏感和地方,確切外設(shè)應(yīng)用的地方,使用MM32是比較有優(yōu)勢的。從demo板的設(shè)計(jì)與資源來看,廠家的支持應(yīng)該也不錯(cuò)的。整體體驗(yàn)暫如此,接下來的小項(xiàng)目中繼續(xù)挖掘詳細(xì)的內(nèi)容。

【MM33 eMiniBoard測評報(bào)告】+我的評測總結(jié)用戶:hu9jj

有幸獲得了MM32 eMiniBoard的評測機(jī)會(huì),自從上月20日收到這塊小巧精致的評測板之后,我立即開始了評測過程,在短短的半個(gè)月時(shí)間里,我測試過廠家提供的ADC、I2C、UART、INT、TIM、PWM等例程。對于ADC轉(zhuǎn)換還同時(shí)對比測試了固件庫和寄存器兩個(gè)版本,測試了開通DAM進(jìn)行二階濾波ADC轉(zhuǎn)換以及多通道ADC轉(zhuǎn)換。同時(shí)還用輪詢法和中斷法兩種方式測試板載的四個(gè)按鍵,盡管K1按鍵沒有測試成功,但其它按鍵的測試效果良好。還測試了定時(shí)器及PWM輸出等例程。

除了測試板上集成的外設(shè),我還測試了I2C驅(qū)動(dòng)DS1307日歷模塊,成功地對日歷芯片進(jìn)行讀寫操作。測試了仿SPI驅(qū)動(dòng)LCD_5110顯示屏,測試了通過一線串口通訊驅(qū)動(dòng)JQ8400語音模塊等。

通過一系列的測試,MM32 eMiniBoard均能很好地與外設(shè)進(jìn)行數(shù)據(jù)通訊,體現(xiàn)了良好的適應(yīng)性能。

這次是我首次接觸靈動(dòng)公司的MCU產(chǎn)品,通過測試活動(dòng)對MM32有了一定的了解,在測試過程中沒有發(fā)現(xiàn)與主流同類產(chǎn)品有什么明顯的差距,證明在普通應(yīng)用中,國產(chǎn)的MCU同樣也很出色。在廠家提供的資料中,最值得稱贊的是同時(shí)提供了固件庫和定時(shí)器兩種版本,給象我一樣準(zhǔn)備學(xué)習(xí)寄存器編程的初學(xué)者帶來了很大的便利,我經(jīng)過ADC例程簡單的對比,在相同功能情況下,寄存器版本比固件庫版本的的代碼要少很多,運(yùn)行效率也更高。

然而在測試過程中也發(fā)現(xiàn)廠家提供的例程資料的不足部分,例如代碼中LED的編號與電路圖和板上絲印正好相反的問題、按鍵例程的引腳定義與實(shí)際不符的問題、TIM1例程中定時(shí)時(shí)間過長影響測試效果的問題等,這些現(xiàn)象表明廠家在提供資料時(shí)還需要嚴(yán)格把關(guān),所有的例程都應(yīng)該在指定板子上運(yùn)行測試通過后再提供給大家,這樣會(huì)給大家更好的感受。

盡管這次測試還不算完整全面,但從測試的結(jié)果來說,MM32表現(xiàn)還是很不錯(cuò)的,個(gè)人認(rèn)為完全可以作為產(chǎn)品開發(fā)的選擇之一。國產(chǎn)MCU的發(fā)展任重道遠(yuǎn),也需要得到大家的支持,同時(shí)也希望靈動(dòng)公司能進(jìn)一步完善資料,為大家提供物美價(jià)廉的MCU產(chǎn)品。

具體測評來說:

翹首盼望了多天,一直沒有快遞的信息,今天上午忍不住,發(fā)郵件詢問了快遞號,下午就收到了。下面就是評測板的全貌——黝黑端莊:

e5f354fe-5f63-11eb-8b86-12bb97331649.jpg



這是評測板的背面——干凈整潔:

e679a7c0-5f63-11eb-8b86-12bb97331649.jpg



板上有一個(gè)下載/調(diào)試接口和一個(gè)USB接口,另外還有一個(gè)三線串口(含GND)和CAN通訊接口,我迫不急待地連接好下載調(diào)試接口,隨著一聲短促的“嘀”聲,評測板上四個(gè)不同顏色的LED便按照不同的頻率閃爍起來了——絢麗多彩:


e70063be-5f63-11eb-8b86-12bb97331649.jpg

收到評測板并上電測試后,第二件必不可少的事情就是建立開發(fā)平臺(tái)和自己的測試程序。相關(guān)的資料早已下載好了,只等評測板到了就可動(dòng)手,萬事俱備,只等東風(fēng)。

一、開發(fā)平臺(tái)的建立

我用的是Keil 5.28,先運(yùn)行MindMotin.MM32L0xx_DFP.1.0.9.pack升級包,將MM32L0xx系統(tǒng)的相關(guān)參數(shù)添加到Keil中,此時(shí)Keil中就可以識別到mm32L0xx系列的MCU了。

e773944c-5f63-11eb-8b86-12bb97331649.jpg



e85f4e6e-5f63-11eb-8b86-12bb97331649.jpg



再運(yùn)行mm32_devkit.setup.exe程序,將mm32-LINK添加進(jìn)去,此時(shí)keil中就可以選擇到mm32-LINK,就可以下載燒錄代碼了。

e8fefde2-5f63-11eb-8b86-12bb97331649.jpg




e97ccb82-5f63-11eb-8b86-12bb97331649.jpg



我用的是WIN10系統(tǒng),所以只需要做這兩步就OK,據(jù)介紹:如果還不能正常燒錄代碼,則再運(yùn)行mm32_usb_setup.exe程序。

需要注意的是,上述程序需要以管理員身份運(yùn)行,否則有可以安裝不成功。

二、測試程序的建立

廠家的資源中包含了固件庫版和寄存器版兩套各種外設(shè)的例程,完全可以直接拿來測試。我就是將其中的一個(gè)例程拷貝到自己的工程目錄中,修改成自己的測試工程項(xiàng)目。

項(xiàng)目移動(dòng)后,Keil中的包含路徑及一些文件的路徑需要修改,為了讓項(xiàng)目資源自成體系,便于復(fù)制到其他電腦上使用,我將Device文件夾也拷貝到項(xiàng)目文件中。包含路徑的修改相對容易,直接在KEIL中操作就行,如下圖:

e9efe00e-5f63-11eb-8b86-12bb97331649.jpg



庫文件路徑的修改則比較麻煩,一個(gè)方法就是刪除后重新添加,這樣做就是容易漏添加文件,我是采取逐個(gè)修改文件的路徑方法,在項(xiàng)目文件列表框中右鍵點(diǎn)擊有驚嘆號的文件名:

ea7a7dc2-5f63-11eb-8b86-12bb97331649.jpg



在彈出菜單中選擇第一行:

eaf6b702-5f63-11eb-8b86-12bb97331649.jpg



在修改窗口中修改路徑:

eb3adcf2-5f63-11eb-8b86-12bb97331649.jpg

這是修改后的路徑:

ebbc13b2-5f63-11eb-8b86-12bb97331649.jpg


修改之后項(xiàng)目文件列表框文件名前的驚嘆號便消失了。所有驚嘆號消失之后,路徑修改就完成了。

然后是編寫測試的代碼,與大部分測試者一樣,首先是點(diǎn)亮LED,我選擇的是流水燈,主程序的代碼如下:

#include "delay.h"#include "sys.h"#include "uart.h"#include "adc.h"#include "led.h"

uint8_t ledn;
/**********************************************************************************************************函數(shù)信息 :main(void)**功能描述 :**輸入?yún)?shù) :**輸出參數(shù) :**    備注 :********************************************************************************************************/int main(void){    delay_init();        LED_Init();        while(1)        {            switch(ledn){                    case 0:                                LED1_ON();                            LED2_OFF();                            LED3_OFF();                            LED4_OFF();                            break;                        case 1:                                LED1_OFF();                            LED2_ON();                            LED3_OFF();                            LED4_OFF();                            break;                        case 2:                                LED1_OFF();                            LED2_OFF();                            LED3_ON();                            LED4_OFF();                            break;                        case 3:                                LED1_OFF();                            LED2_OFF();                            LED3_OFF();                            LED4_ON();                }                ledn++;                if(ledn > 3)                        ledn = 0;                delay_ms(100);
        }}

編譯代碼通過,0錯(cuò)誤,0警告:

ec37dc72-5f63-11eb-8b86-12bb97331649.jpg



下載燒錄完成:

ecd2facc-5f63-11eb-8b86-12bb97331649.jpg


最后是測試效果,LED燈不停地依次閃亮。

ed95261a-5f63-11eb-8b86-12bb97331649.jpg

更多過程可見:https://bbs.21ic.com/icview-2968410-1-1.html?_dsign=50d64354

靈動(dòng)微MM32 eMiniBoard的性能介紹用戶:火星國務(wù)卿

上海靈動(dòng)微電子是國內(nèi)專注于MCU產(chǎn)品及應(yīng)用方案的供應(yīng)商,致力于提供高性能、高質(zhì)量的32位MCU產(chǎn)品元件。靈動(dòng)迄今為止已完成數(shù)百余項(xiàng)產(chǎn)品設(shè)計(jì),滿足客戶及市場多領(lǐng)域、多層次的豐富應(yīng)用場景需求。靈動(dòng)微一級代理介紹MM32 eMiniBoard相關(guān)資料。

MM32 eMiniBoard(MCU型號:MM32L073PF),MM32 eMiniBoard開發(fā)板照片及簡介。

MM32 eMiniBoard 簡介:MM32L073PF (Cortex-M0 MCU:128k FLASH、8k SRAM);板載SPI Flash芯片;板載IIC EEPROM芯片;板載CAN轉(zhuǎn)換芯片;板載無源蜂鳴器;具備3個(gè)VR;具備4個(gè)LED;具備4個(gè)KEY;外設(shè)IO全部引出,方便快速搭載模塊測試。

雙USB接口,USB-1支持USB仿真、下載和調(diào)試,USB-2支持USB device和供電;板載MM32-LINK OB,可對主控MCU進(jìn)行在線仿真、調(diào)試和下載;支持 Keil uvision/ IAR EWARM開發(fā)環(huán)境。

MM32L073F產(chǎn)品使用高性能的 ARM Cortex-M0 為內(nèi)核的 32 位MCU,工作頻率最高可達(dá)48MHz,并內(nèi)置高速存儲(chǔ)器,豐富的增強(qiáng)型 I/O 端口和外設(shè)連接到外部總線。產(chǎn)品系列工作電壓為 2.0V ~ 5.5V,工作溫度范圍包含-40?C ~ +85?C 常規(guī)型和-40?C~ +105?C 擴(kuò)展型。多種省電工作模式保證低功耗應(yīng)用的要求。適合于應(yīng)用在電機(jī)驅(qū)動(dòng)和應(yīng)用控制、工業(yè)等。

靈動(dòng)微新品MM32F0010替代8位MCU最佳方案

用戶:王小琪

ef643f76-5f63-11eb-8b86-12bb97331649.jpg

MM32F0010概述

靈動(dòng)微電子新產(chǎn)品MM32F0010使用內(nèi)核M0的高性能32位微控制器,工作頻率最高可達(dá)48MHz,內(nèi)置高速存儲(chǔ)器,豐富的增強(qiáng)型I/O端口和外設(shè)連接到外部總線。MM32F0010系列工作電壓為2.0V~5.5V,工作溫度范圍(環(huán)境溫度)-40?C~85?C常規(guī)型和-40?C~105?C擴(kuò)展型(V)。多種省電工作模式保證低功耗應(yīng)用的要求。并提供QFN20和TSSOP20共2種封裝形式。靈動(dòng)微總代理英尚微電子可提供開發(fā)板、例程及必要的FAE支持等產(chǎn)品服務(wù)。

根據(jù)不同的封裝形式,器件中的外設(shè)配置不盡相同。這些豐富的外設(shè)配置,使得本產(chǎn)品微控制器適合于電機(jī)驅(qū)動(dòng)和應(yīng)用控制、醫(yī)療和手持設(shè)備、PC游戲外設(shè)和GPS平臺(tái)、工業(yè)應(yīng)用、警報(bào)系統(tǒng)、視頻對講、和暖氣通風(fēng)空調(diào)系統(tǒng)等多種應(yīng)用場合。

ef87074a-5f63-11eb-8b86-12bb97331649.png

QFN20引腳分布

f0005082-5f63-11eb-8b86-12bb97331649.png

TSSOP20引腳分布

ARM?Cortex-M0核心并內(nèi)嵌閃存和SRAM

ARM的Cortex-M0處理器是最新一代的嵌入式ARM處理器,它為實(shí)現(xiàn)MCU的需要提供了低成本的平臺(tái)、縮減的引腳數(shù)目、降低的系統(tǒng)功耗,同時(shí)提供卓越的計(jì)算性能和先進(jìn)的中斷系統(tǒng)響應(yīng)。

ARM的Cortex-M0是32位的RISC處理器,提供額外的代碼效率,在通常8和16位系統(tǒng)的存儲(chǔ)空間上發(fā)揮了ARM內(nèi)核的高性能。MM32F0010擁有內(nèi)置的ARM核心,因此它與所有的ARM工具和軟件兼容。

內(nèi)置閃存存儲(chǔ)器

最大16K字節(jié)的內(nèi)置閃存存儲(chǔ)器,用于存放程序和數(shù)據(jù)。

內(nèi)置SRAM

最大2K字節(jié)的內(nèi)置SRAM

低功耗模式

產(chǎn)品支持低功耗模式,可以在要求低功耗、短啟動(dòng)時(shí)間和多種喚醒事件之間達(dá)到最佳的平衡。

睡眠模式

在睡眠模式,只有CPU停止,所有外設(shè)處于工作狀態(tài)并可在發(fā)生中斷/事件時(shí)喚醒CPU。

停機(jī)模式

在保持SRAM和寄存器內(nèi)容不丟失的情況下,停機(jī)模式可以達(dá)到最低的電能消耗。在停機(jī)模式下,HSI的振蕩器和HSE晶體振蕩器被關(guān)閉??梢酝ㄟ^任一配置成EXTI的信號把微控制器從停機(jī)模式中喚醒,EXTI信號可以是16個(gè)外部I/O口之一、PVD的輸出的喚醒信號。

待機(jī)模式

待機(jī)模式可實(shí)現(xiàn)系統(tǒng)的最低功耗。該模式是在CPU深睡眠模式時(shí)關(guān)閉電壓調(diào)節(jié)器。內(nèi)部所有的1.5V部分的供電區(qū)域被斷開。HSI和HSE振蕩器也都關(guān)閉,可以通過WKUP引腳的上升沿、NRST引腳的外部復(fù)位、IWDG復(fù)位喚醒或者看門狗定時(shí)器喚醒并復(fù)位。SRAM和寄存器的內(nèi)容將被丟失。

總結(jié)

通用MCU看似簡單,其實(shí)是一個(gè)很復(fù)雜的產(chǎn)品體系。MCU的特點(diǎn)不僅是簡單的替代,更關(guān)鍵的是產(chǎn)品品質(zhì)、供貨保證、支持服務(wù),需要廣大的合作伙伴們一起配合支持。

從靈動(dòng)微產(chǎn)品來看,包括了5個(gè)系列覆蓋各種需求場景,而整個(gè)生態(tài)圖來看,靈動(dòng)微的各類支持也非常豐富。不過通病還是文檔方面,不過相信在國產(chǎn)化進(jìn)程中,靈動(dòng)微會(huì)越做越好。

責(zé)任編輯:xj

原文標(biāo)題:盤點(diǎn)STM32的國產(chǎn)替代者(4)

文章出處:【微信公眾號:嵌入式ARM】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • mcu
    mcu
    +關(guān)注

    關(guān)注

    146

    文章

    16813

    瀏覽量

    349492
  • STM32
    +關(guān)注

    關(guān)注

    2263

    文章

    10849

    瀏覽量

    353901
  • 靈動(dòng)微
    +關(guān)注

    關(guān)注

    3

    文章

    174

    瀏覽量

    22633

原文標(biāo)題:盤點(diǎn)STM32的國產(chǎn)替代者(4)

文章出處:【微信號:gh_c472c2199c88,微信公眾號:嵌入式微處理器】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    靈動(dòng)微電子MM32H5480榮獲2024年度硬核MCU芯片獎(jiǎng)

    近日,第六屆硬核芯生態(tài)大會(huì)在深圳圓滿落幕,與此同時(shí),備受矚目的2024年度硬核中國芯獲獎(jiǎng)榜單也正式揭曉。作為國內(nèi)頂尖的本土通用32位MCU產(chǎn)品及解決方案提供商,靈動(dòng)微電子憑借其高性能產(chǎn)品MM32H5480成功斬獲“2024年度硬
    的頭像 發(fā)表于 10-17 17:05 ?399次閱讀

    國產(chǎn)MCU有推薦嗎?最好是經(jīng)過市場驗(yàn)證的!

    市場上得到了廣泛的應(yīng)用和驗(yàn)證。英銳恩科技小篇將推薦幾款經(jīng)過市場驗(yàn)證的國產(chǎn)MCU,幫助開發(fā)在選擇時(shí)更加從容。 隨著國家對半導(dǎo)體產(chǎn)業(yè)的重視和支持,國產(chǎn)
    發(fā)表于 09-26 16:38

    國產(chǎn)8位MCU為什么能被國內(nèi)的電子工程師大量應(yīng)用?

    景中,國產(chǎn)8位MCU能夠在保證設(shè)備正常運(yùn)行的同時(shí),最大限度地延長電池使用壽命,提升用戶體驗(yàn)。 再者,國產(chǎn)8位MCU的編程和開發(fā)相對簡單,降低了開發(fā)
    發(fā)表于 09-26 15:02

    車規(guī)級國產(chǎn)MCU-小華半導(dǎo)體

    ,是國產(chǎn)MCU汽車電子應(yīng)用等重要參與。從車身域控的HC32A136/HC32A448系列到底盤動(dòng)力電機(jī)電池BMS應(yīng)用的HC32A4A0以及XC1/2/3/4系列正大力加速國產(chǎn)汽車
    的頭像 發(fā)表于 09-25 16:49 ?444次閱讀
    車規(guī)級<b class='flag-5'>國產(chǎn)</b><b class='flag-5'>MCU</b>-小華半導(dǎo)體

    英銳恩科技,以實(shí)力打造國產(chǎn)單片機(jī)替代品牌!

    。 英銳恩科技可以為各類電子產(chǎn)品終端公司解決了使用國產(chǎn)單片機(jī)替代進(jìn)口MCU的難題,并壓縮了接近55%的資金成本。英銳恩科技有多條產(chǎn)品線可以直接兼容芯MICROCHIP、ST意法半導(dǎo)
    發(fā)表于 09-25 09:49

    靈動(dòng)微電子:助力打造100%國產(chǎn)的高質(zhì)量車規(guī)控制芯片

    2024年6月14日,靈動(dòng)微電子受邀參加了由上海汽車芯片產(chǎn)業(yè)聯(lián)盟主辦的同“芯”協(xié)力,共筑輝煌 ——“走進(jìn)上汽集團(tuán)”對接交流日活動(dòng)。 靈動(dòng)微電子現(xiàn)已成為國內(nèi)車規(guī)行業(yè)的重要參與,其MM32
    發(fā)表于 06-17 15:02 ?2494次閱讀
    <b class='flag-5'>靈動(dòng)</b>微電子:助力打造100%<b class='flag-5'>國產(chǎn)</b>的高質(zhì)量車規(guī)控制芯片

    靈動(dòng)微電子篤行不怠 打造100%國產(chǎn)高質(zhì)量車規(guī)控制芯片

    2024年6月14日,靈動(dòng)微電子受邀參加了由上海汽車芯片產(chǎn)業(yè)聯(lián)盟主辦的同“芯”協(xié)力,共筑輝煌?——“走進(jìn)上汽集團(tuán)”對接交流日活動(dòng)。 ? 靈動(dòng)微電子現(xiàn)已成為國內(nèi)車規(guī)行業(yè)的重要參與,其MM32
    發(fā)表于 06-17 10:46 ?2652次閱讀
    <b class='flag-5'>靈動(dòng)</b>微電子篤行不怠 打造100%<b class='flag-5'>國產(chǎn)</b>高質(zhì)量車規(guī)控制芯片

    靈動(dòng)微電子MM32系列MCU SPI LCD彩屏參考方案

    ,同時(shí)也受到了市場的廣泛關(guān)注,為此,靈動(dòng)微電子推出了搭載MM32系列MCU的SPI LCD彩屏參考方案。 硬件方案介紹 LCD顯示應(yīng)用開發(fā)一直以來都是方案開發(fā)公司的難點(diǎn)項(xiàng)目,在開發(fā)過程中的圖片轉(zhuǎn)碼、壓縮、布局和存儲(chǔ)等開發(fā)過程都需
    的頭像 發(fā)表于 04-26 10:01 ?2128次閱讀
    <b class='flag-5'>靈動(dòng)</b>微電子<b class='flag-5'>MM32</b>系列<b class='flag-5'>MCU</b> SPI LCD彩屏參考方案

    MM32自旋系列電機(jī)專用 24V電機(jī)驅(qū)動(dòng)DK板功能介紹

    電子發(fā)燒友網(wǎng)站提供《MM32自旋系列電機(jī)專用 24V電機(jī)驅(qū)動(dòng)DK板功能介紹.pdf》資料免費(fèi)下載
    發(fā)表于 03-24 09:24 ?2次下載

    2024年,請不要再喊國產(chǎn)芯片替代

    ,投資人給錢,社會(huì)給鼓勵(lì),中低端芯片替代已基本完成。至于高端芯片,在公開市場,講國產(chǎn)替代沒有用,只能講芯片產(chǎn)品和技術(shù)實(shí)力。 2023年最后一周去了深圳,不管什么時(shí)候,深圳人都是這個(gè)時(shí)代最樂觀的奮斗
    的頭像 發(fā)表于 01-25 11:50 ?722次閱讀

    如何恢復(fù)靈動(dòng)單片機(jī)被占用后的下載口

    在自己另一個(gè)DapLink下載器下,配合靈動(dòng)官網(wǎng)下載的MM32 Link Utility工具,擦出了芯片,恢復(fù)為出廠狀態(tài)。之后就可以在Keil中繼續(xù)下載程序了。
    發(fā)表于 01-12 09:24

    靈動(dòng)MM32SPIN160C微控制器方案

    靈動(dòng)MM32SPIN160C采用高性能為內(nèi)核為M0的32位微控制器,5V輸出的LDO穩(wěn)壓器、三組具備有自舉二極管的N通道半橋柵極驅(qū)動(dòng)器。
    的頭像 發(fā)表于 01-09 10:41 ?699次閱讀
    <b class='flag-5'>靈動(dòng)</b><b class='flag-5'>微</b><b class='flag-5'>MM</b>32SPIN160C微控制器方案

    AGM Micro發(fā)布兼容STM32MCU產(chǎn)品系列

    AGM Micro發(fā)布了兼容STM32MCU產(chǎn)品系列,推出具有低延遲高靈活性的功能模塊MCU產(chǎn)品系列。AGM32產(chǎn)品系列對32位MCU的廣大客戶群提供
    發(fā)表于 12-29 11:18

    AGM Micro推出STM32兼容MCU產(chǎn)品系列

    兼容產(chǎn)品系列已常年持續(xù)地服務(wù)于FPGA長尾商業(yè)模式的近千個(gè)AGM客戶。AGM32產(chǎn)品系列對32位MCU的廣大客戶群提供國產(chǎn)替代和新智能應(yīng)用市場的開拓。 此次AGM Micro發(fā)布的產(chǎn)品系列包括
    發(fā)表于 12-29 10:52

    TMC5130A-TA國產(chǎn)替代、低噪聲、256細(xì)分步進(jìn)電機(jī)驅(qū)動(dòng)

    TMC5130A-TA國產(chǎn)替代、低噪聲、256細(xì)分步進(jìn)電機(jī)驅(qū)動(dòng)
    的頭像 發(fā)表于 11-14 10:17 ?1237次閱讀
    TMC5130A-TA<b class='flag-5'>國產(chǎn)</b><b class='flag-5'>替代</b>、低噪聲、256細(xì)分<b class='flag-5'>微</b>步進(jìn)電機(jī)驅(qū)動(dòng)