0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

【ZYNQ Ultrascale+ MPSOC FPGA教程】第三十一章FreeRTOS實驗

FPGA技術專欄 ? 來源:芯驛電子科技 ? 作者:芯驛電子科技 ? 2021-01-30 10:16 ? 次閱讀

原創(chuàng)聲明:

本原創(chuàng)教程由芯驛電子科技(上海)有限公司(ALINX)創(chuàng)作,版權歸本公司所有,如需轉(zhuǎn)載,需授權并注明出處。

適用于板卡型號:

AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG

實驗Vivado工程目錄為“ps_axi_gpio /vivado”。

實驗vitis工程目錄為“freertos /vitis”。

學習 ZYNQ,很大一部分都是FPGA開發(fā)人員,不太擅長使用Linux,所以我建議大家還是先使用實時操作系統(tǒng)或者裸機運行,這樣也有更大的靈活性。本章給大家講解如何搭建Free RTOS實時操作系統(tǒng)運行環(huán)境,這里不深入探討Free RTOS的具體使用。本實驗以FreeRTOS Hello World做舉例,并實現(xiàn)兩個LED燈以不同間隔持續(xù)閃爍。

本實驗基于 “PL端AXI GPIO的使用”工程,硬件環(huán)境不需要修改。

軟件工程師工作內(nèi)容

以下為軟件工程師負責內(nèi)容。

1.VITIS程序開發(fā)

1) 參考Hello World一章,新建工程,OS選擇freertos10_xilinx

v2-1fb80ff88483411de9a5738a5432c07e_720w.jpg

2)本實驗選擇FreeRTOS Hello World做舉例

pIYBAGATb-2ALLRmAAB9gWstVsM355.jpg

Hello World例子中建立了兩個任務,發(fā)送任務和接收任務,接收任務的優(yōu)先級高于發(fā)送任務。并且創(chuàng)建隊列,由發(fā)送任務向隊列發(fā)送數(shù)據(jù),接收任務從隊列中讀取數(shù)據(jù)并打印。例子中設置了timer,但在本實驗中刪除了timer,讓發(fā)送和接收任務一直工作。

o4YBAGATb-2ANeQ3AAB9gJ5_czQ428.jpg

3)在此基礎上增加PS和PL端LED閃爍任務,PS端閃爍間隔為100ms,PL端閃爍間隔為1S

pIYBAGATb-6AAzoDAABFVK490pc958.jpg

2. 板上驗證

1)下載界面設置,下載程序

pIYBAGATb-6AcY7CAACq-QWIQHs254.jpg

2)打開串口,正在持續(xù)打印數(shù)據(jù)

pIYBAGATb-6AcY7CAACq-QWIQHs254.jpg

3)同時也能看到開發(fā)板上LED1和LED2閃爍,直觀地體現(xiàn)了多任務并行處理。

3. 本章小結(jié)

相對于復雜的Linux,F(xiàn)reeRTOS 等實時操作系統(tǒng)給我們帶來更靈活更方便的開發(fā),可以更

直接的和底層FPGA進行交互,但是FreeRTOS本身也有一點難度,要想熟練應用,還是要結(jié)

合具體的項目多加練習。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1624

    文章

    21573

    瀏覽量

    600704
  • led
    led
    +關注

    關注

    240

    文章

    23002

    瀏覽量

    655085
  • RTOS
    +關注

    關注

    21

    文章

    808

    瀏覽量

    119304
  • FreeRTOS
    +關注

    關注

    12

    文章

    483

    瀏覽量

    61863
  • Zynq
    +關注

    關注

    9

    文章

    607

    瀏覽量

    47084
  • MPSoC
    +關注

    關注

    0

    文章

    195

    瀏覽量

    24215
收藏 人收藏

    評論

    相關推薦

    如何利用ZYNQ MPSoC玩DOOM?

    賽靈思和 DornerWorks 的系統(tǒng)軟件團隊在賽靈思的 Zynq? Ultrascale+? MPSoC 上啟動 Xen Project 管理程序時,我們發(fā)現(xiàn)可通過運行當年叱詫一時的流行電子游戲
    發(fā)表于 10-09 06:21

    如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

      如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器  Zynq UltraScale+
    發(fā)表于 01-07 16:02

    如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

    如何調(diào)試 Zynq UltraScale+ MPSoC VCU DDR 控制器?
    發(fā)表于 01-22 06:29

    ZYNQ Ultrascale+ MPSOC FPGA教程

    ZYNQ Ultrascale+ MPSOC FPGA教程
    發(fā)表于 02-02 07:53

    Ti推出面向Zynq UltraScale+ MPSoC的電源參考設計

    本篇文章將與大家討論的是Xilinx Zynq UltraScale+ MPSoC的電源解決方案參考設計。
    發(fā)表于 03-14 02:24 ?3634次閱讀
    Ti推出面向<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>的電源參考設計

    基于Zynq UltraScale+ MPSoC上運行 Xen 管理程序

    熟悉運行在賽靈思 Zynq UltraScale+ MPSoC 上的 Xen 管理程序。 賽靈思和 DornerWorks 的系統(tǒng)軟件團隊在賽靈思的 Zynq?
    發(fā)表于 11-16 20:17 ?3436次閱讀
    基于<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>上運行 Xen 管理程序

    Xilinx基于ARM的Zynq-7000和Zynq UltraScale+ MPSoC及RFSoC器件是否存在安全漏洞

    本文試圖搞清楚在 Xilinx 基于 ARM 的 Zynq-7000、Zynq UltraScale+ MPSoCZynq
    發(fā)表于 06-28 15:53 ?2649次閱讀

    Zynq UltraScale+ MPSoC的發(fā)售消息

    Zynq?UltraScale+?MPSoC,現(xiàn)已開始發(fā)售。視頻向您重點介紹了Xilinx UltraScale +產(chǎn)品組合的第一位成員
    的頭像 發(fā)表于 11-27 06:47 ?3534次閱讀

    米爾科技Zynq UltraScale+ MPSoC技術參考手冊介紹

    Zynq UltraScale+ MPSoC是Xilinx推出的第二代多處理SoC系統(tǒng),在第一代Zynq-7000的基礎上做了全面升級,在單芯片上融合了功能強大的處理器系統(tǒng)(PS)和用
    的頭像 發(fā)表于 11-18 11:03 ?3069次閱讀
    米爾科技<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>技術參考手冊介紹

    如何調(diào)試 Zynq UltraScale+ MPSoC VCU DDR 控制器?

    Zynq UltraScale+ MPSoC VCU DDR 控制器是一款專用 DDR 控制器,只支持在 Zynq UltraScale+
    發(fā)表于 02-23 06:00 ?15次下載
    如何調(diào)試 <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b> VCU DDR 控制器?

    米爾電子zynq ultrascale+ mpsoc底板外設資源清單分享

    米爾電子推出的國內(nèi)首款zynq ultrascale+ mpsoc平臺核心板(及開發(fā)板):MYC-CZU3EG吸引了人工智能、工業(yè)控制、嵌入式視覺、ADAS、算法加速、云計算、有線/無線通信等
    發(fā)表于 01-07 15:20 ?3次下載
    米爾電子<b class='flag-5'>zynq</b> <b class='flag-5'>ultrascale+</b> <b class='flag-5'>mpsoc</b>底板外設資源清單分享

    ZYNQ Ultrascale+ MPSoC系列FPGA芯片設計

    基于 Xilinx 公司ZYNQ Ultrascale+ MPSoC系列 FPGA 芯片設計,應用于工廠自動化、機器視覺、工業(yè)質(zhì)檢等工業(yè)領域
    發(fā)表于 11-02 14:35 ?1529次閱讀

    Zynq UltraScale+ MPSoC中的隔離方法

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC中的隔離方法.pdf》資料免費下載
    發(fā)表于 09-13 17:11 ?1次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>中的隔離方法

    Zynq UltraScale+ MPSoC的隔離設計示例

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC的隔離設計示例.pdf》資料免費下載
    發(fā)表于 09-13 11:28 ?3次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>的隔離設計示例

    Zynq UltraScale+ MPSoC驗證數(shù)據(jù)手冊

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC驗證數(shù)據(jù)手冊.pdf》資料免費下載
    發(fā)表于 09-15 10:13 ?0次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>驗證數(shù)據(jù)手冊