0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

詳解基于FPGA的數(shù)字電路對流水燈的實(shí)驗(yàn)

電子森林 ? 來源:嗶哩嗶哩 ? 作者:硬禾學(xué)堂 ? 2021-03-19 16:36 ? 次閱讀

繼年前介紹的時(shí)序邏輯電路之時(shí)鐘分頻后,今天我們來介紹第7講:流水燈。

流水燈,有時(shí)候也叫跑馬燈,是一個(gè)簡單、有趣又經(jīng)典的實(shí)驗(yàn),基本所有單片機(jī)的玩家們在初期學(xué)習(xí)的階段都做過。本次我們也來介紹一下如何通過小腳丫FPGA實(shí)現(xiàn)一個(gè)流水燈。

流水燈就是讓一連串的燈在一定時(shí)間內(nèi)先后點(diǎn)亮并循環(huán)往復(fù),所以其中的關(guān)鍵要領(lǐng)就在于控制每兩個(gè)相鄰LED亮滅的時(shí)間差,以及所有LED燈完成一組亮滅動(dòng)作后的循環(huán)。很久都沒有用過小腳丫的朋友可以再回顧一下,這上面有8個(gè)LED燈,且低電平點(diǎn)亮。

實(shí)現(xiàn)流水燈的方法絕不止一種,在這里我們采用模塊化的設(shè)計(jì)思路,因?yàn)槟K化設(shè)計(jì)對于之后構(gòu)建大型電路系統(tǒng)非常有幫助,并且我們還可以借機(jī)溫習(xí)一下以前學(xué)過的內(nèi)容。

現(xiàn)在我們的目標(biāo)是每過1秒后點(diǎn)亮下一個(gè)LED燈并且熄滅當(dāng)前燈,且在第8個(gè)燈熄滅之后循環(huán)整個(gè)流程,該如何設(shè)計(jì)整個(gè)模塊?我們先上圖后解釋。

毫無疑問,第一步需要做的就是通過分頻來生成一個(gè)周期為1秒的時(shí)鐘信號,不了解時(shí)鐘分頻童鞋可以讀一下本系列的第6篇內(nèi)容。

有了一個(gè)1秒鐘嘀嗒一次的時(shí)鐘后,我們還要考慮到循環(huán)問題,因?yàn)樵诘?個(gè)LED燈熄滅之后還需要再返回到第1個(gè)。那么這個(gè)時(shí)候我們就需要一個(gè)計(jì)數(shù)器,它的作用就是數(shù)羊,一只,兩只…。..數(shù)到第八只后重頭再來。數(shù)8只羊需要一個(gè)3位寬的變量(23=8)。

最后,由于我們是要依次點(diǎn)亮,也就是說8位的輸出中每次只有1位是低電平,其余均為高電平(小腳丫LED燈為低電平點(diǎn)亮)。這個(gè)特性正好對應(yīng)了我們之前學(xué)過的3-8譯碼器。

現(xiàn)在我們再來捋一遍。首先,通過分頻在小腳丫上生成一個(gè)周期為1秒的慢速時(shí)鐘信號,這個(gè)時(shí)鐘信號傳送到計(jì)數(shù)器之中;這個(gè)計(jì)數(shù)器是3位寬的,因此最多可以計(jì)八次慢速時(shí)鐘的嘀嗒,并且計(jì)數(shù)每增加1時(shí),都對應(yīng)著3-8譯碼器的下一種輸出,也就對應(yīng)著流水燈的下一個(gè)狀態(tài)。

現(xiàn)在我們上代碼:

module runningled (clk,led); input clk,rst; output[7:0] led; reg [2:0] cnt ; //定義了一個(gè)3位的計(jì)數(shù)器,輸出可以作為3-8譯碼器的輸入 wire clk1hz; //定義一個(gè)中間變量,表示分頻得到的時(shí)鐘,用作計(jì)數(shù)器的觸發(fā) //例化分頻模塊,產(chǎn)生一個(gè)1Hz時(shí)鐘信號 divide #(.WIDTH(24),.N(12000000)) u2 ( //除數(shù)為12,000,000,因此頻率為1Hz .clk(clk), .rst_n(rst), .clkout(clk1hz) ); //生成計(jì)數(shù)器,上沿觸發(fā)并循環(huán)計(jì)數(shù) always@(posedge clk1hz) cnt 《=《 span=“”》 cnt +1; // 達(dá)到位寬上限后可自動(dòng)溢出清零 //例化3-8譯碼器模塊 decode38 u1 ( .X(cnt), //例化的輸入端口連接到cnt,輸出端口連接到led .D(led) );endmodule

在第四篇講譯碼器的文章里,我們介紹過,如果需要調(diào)用/例化子模塊時(shí),需要將各子模塊與大模塊放入同一個(gè)工程文件下進(jìn)行編譯。最后我們再來對小腳丫進(jìn)行管腳配置并燒錄就可以了。

對應(yīng)變量小腳丫管腳FPGA管腳

clkClockJ5

led [0]LED1N15

led [1]LED2N14

led [2]LED3M14

led [3]LED4M12

led [4]LED5L12

led [5]LED6K12

led [6]LED7L11

led [7]LED8K11

如果大家成功地在小腳丫上實(shí)現(xiàn)了流水燈的程序,還可以自己玩一個(gè)有意思的實(shí)驗(yàn):比如,你可以通過修改程序來提高流水燈的刷新頻率,然后看看LED燈的刷新率為多少時(shí)你的肉眼無法分別。同時(shí)再打開手機(jī)的攝像頭,也以同樣的方法試驗(yàn)一番。結(jié)合到你觀察的現(xiàn)象,可以自己琢磨并思考一下,說不定能挖掘出更多的知識。

備注一些大伙都知道的常識:我國交流電工頻為50Hz,電腦常用顯示器的刷新率有60,75和144赫茲。華為Mate30刷新頻率為90赫茲,蘋果6-12的刷新頻率為60赫茲。
編輯:lyn

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600650
  • 單片機(jī)
    +關(guān)注

    關(guān)注

    6026

    文章

    44458

    瀏覽量

    631088
  • 代碼
    +關(guān)注

    關(guān)注

    30

    文章

    4700

    瀏覽量

    68105

原文標(biāo)題:基于FPGA的數(shù)字電路實(shí)驗(yàn)7:流水燈的實(shí)現(xiàn)

文章出處:【微信號:xiaojiaoyafpga,微信公眾號:電子森林】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    數(shù)字電路是對什么信號進(jìn)行傳輸?shù)?/a>

    數(shù)字電路是一種電子系統(tǒng),它使用數(shù)字信號進(jìn)行信息傳輸和處理。數(shù)字信號是由離散的電壓水平或電流水平表示的信號,通常用二進(jìn)制代碼表示。與模擬電路
    的頭像 發(fā)表于 08-11 11:00 ?565次閱讀

    FPGA 實(shí)驗(yàn)一:流水燈模塊

    實(shí)驗(yàn)一:流水燈模塊 對于發(fā)展商而言,動(dòng)土儀式無疑是最重要的任務(wù)。為此,流水燈實(shí)驗(yàn)作為低級建模II的動(dòng)土儀式再適合不過了。廢話少說,我們還是開始實(shí)驗(yàn)
    發(fā)表于 06-10 09:38

    51單片機(jī)流水燈制作

    ?流水燈是學(xué)習(xí)51單片機(jī)的一個(gè)基本項(xiàng)目,是我們對單片機(jī)IO口輸出認(rèn)識的基本項(xiàng)目。當(dāng)然學(xué)會(huì)了花樣流水燈也很好玩。 下面就分享一種51單片機(jī)流水燈制作的方法。 一、流水燈原理圖 二、相關(guān)知
    的頭像 發(fā)表于 02-26 10:06 ?1334次閱讀
    51單片機(jī)<b class='flag-5'>流水燈</b>制作

    【紫光同創(chuàng)國產(chǎn)FPGA教程】——(PGL22G第二章)鍵控流水燈實(shí)驗(yàn)例程

    ~ USER_LED8 的輸出效果。 三:實(shí)驗(yàn)原理 實(shí)現(xiàn)框架如下: (1)頂層實(shí)現(xiàn)按鍵切換 LED 的流水燈狀態(tài); (2)需要設(shè)計(jì)一個(gè)輸入控制模塊及一個(gè)輸出控制模塊; 這個(gè)實(shí)驗(yàn)帶大家將多個(gè)模塊整合
    發(fā)表于 01-05 11:22

    PLC控制8盞流水燈電路原理圖和實(shí)際接線圖詳解

    PLC控制8盞流水燈電路原理圖和實(shí)際接線圖
    的頭像 發(fā)表于 12-27 11:47 ?5646次閱讀
    PLC控制8盞<b class='flag-5'>流水燈</b><b class='flag-5'>電路</b>原理圖和實(shí)際接線圖<b class='flag-5'>詳解</b>

    【每周一練】盤古1K開發(fā)板 練習(xí)一:LED流水燈實(shí)驗(yàn)

    查看附件中的快速使用手冊。 LED流水燈實(shí)驗(yàn) 本次實(shí)驗(yàn)實(shí)現(xiàn)LED流水燈,使用的到的硬件為LED燈,在【每周一練】小眼睛FPGA1K開發(fā)板硬件
    發(fā)表于 12-21 00:20

    【每周一練】盤古1K開發(fā)板 練習(xí)一:LED流水燈實(shí)驗(yàn)學(xué)習(xí)

    實(shí)驗(yàn)目的 熟悉PDS開發(fā)流程,掌握流水燈原理并實(shí)現(xiàn)流水燈 實(shí)驗(yàn)要求 設(shè)計(jì)8個(gè)LED以0.5s間隔接替點(diǎn)亮 實(shí)現(xiàn)代碼 `define UD #1 module led_ligh
    發(fā)表于 12-09 14:20

    利用SCR步進(jìn)指令實(shí)現(xiàn)流水燈循環(huán)點(diǎn)亮

    在以往介紹西門子smart文章中,提到過流水燈的寫法,有用循環(huán)位移字[ROL(R)_B]節(jié)來實(shí)現(xiàn),有利用定時(shí)器(TON)來實(shí)現(xiàn)。今天我們利用SCR步進(jìn)指令來實(shí)現(xiàn)流水燈循環(huán)點(diǎn)亮,在作這個(gè)實(shí)驗(yàn)前提必須滿足如下幾個(gè)軟硬件條件:
    的頭像 發(fā)表于 12-04 09:15 ?1858次閱讀
    利用SCR步進(jìn)指令實(shí)現(xiàn)<b class='flag-5'>流水燈</b>循環(huán)點(diǎn)亮

    使用74HC595驅(qū)動(dòng)LED流水燈實(shí)驗(yàn)

    本次實(shí)驗(yàn)的simulink模型如圖5.2所示,實(shí)驗(yàn)現(xiàn)象可以通過改變控制PC6引腳的“constant2”變量實(shí)現(xiàn)LED流水燈效果,如圖5.1所示,本次實(shí)驗(yàn)
    的頭像 發(fā)表于 11-29 16:20 ?1639次閱讀
    使用74HC595驅(qū)動(dòng)LED<b class='flag-5'>流水燈</b><b class='flag-5'>實(shí)驗(yàn)</b>

    【每周一練】盤古1K開發(fā)板 練習(xí)一:LED流水燈實(shí)驗(yàn)

    實(shí)驗(yàn)目的: 熟悉PDS開發(fā)流程,掌握流水燈原理并實(shí)現(xiàn)流水燈 實(shí)驗(yàn)要求: 設(shè)計(jì)8個(gè)LED以0.5s間隔接替點(diǎn)亮
    發(fā)表于 11-21 11:32

    FPGA/CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享

    電子發(fā)燒友網(wǎng)站提供《FPGA/CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享.pdf》資料免費(fèi)下載
    發(fā)表于 11-21 11:03 ?3次下載
    <b class='flag-5'>FPGA</b>/CPLD<b class='flag-5'>數(shù)字電路</b>設(shè)計(jì)經(jīng)驗(yàn)分享

    分享花樣流水燈源程序

    電子發(fā)燒友網(wǎng)站提供《分享花樣流水燈源程序.doc》資料免費(fèi)下載
    發(fā)表于 11-18 09:59 ?3次下載
    分享花樣<b class='flag-5'>流水燈</b>源程序

    基于電動(dòng)車的MCU與數(shù)字電路實(shí)驗(yàn)平臺(tái)設(shè)計(jì)與實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《基于電動(dòng)車的MCU與數(shù)字電路實(shí)驗(yàn)平臺(tái)設(shè)計(jì)與實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 11-07 11:21 ?1次下載
    基于電動(dòng)車的MCU與<b class='flag-5'>數(shù)字電路</b><b class='flag-5'>實(shí)驗(yàn)</b>平臺(tái)設(shè)計(jì)與實(shí)現(xiàn)

    基于單片機(jī)的流水燈電路設(shè)計(jì)

    前面一課掌握了嵌入式程序源代碼級調(diào)試,為復(fù)雜單片機(jī)實(shí)驗(yàn)程序的調(diào)試做好了準(zhǔn)備工作。本次實(shí)驗(yàn)完成流水燈電路模型設(shè)計(jì)和編程,在實(shí)驗(yàn)過程中,學(xué)習(xí)
    的頭像 發(fā)表于 10-31 14:56 ?3647次閱讀
    基于單片機(jī)的<b class='flag-5'>流水燈</b><b class='flag-5'>電路</b>設(shè)計(jì)

    建立/保持時(shí)間對數(shù)字電路的影響

    建立/保持時(shí)間對數(shù)字電路的影響 數(shù)字電路是指使用數(shù)字信號進(jìn)行連接和處理信息的電路數(shù)字電路是由一系列數(shù)字
    的頭像 發(fā)表于 10-29 14:21 ?624次閱讀