0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

關(guān)于Verilog語法你不知道的方法

FPGA之家 ? 來源:開源博客 ? 作者:電子電路開發(fā)學習 ? 2021-03-21 10:01 ? 次閱讀

動態(tài)截取固定長度數(shù)據(jù)語法,即+:和-:的使用,這兩個叫什么符號呢?運算符嗎?

Verilog比較方便的一個特點就是數(shù)據(jù)的截取和拼接功能了,截取使用方括號[],拼接使用大括號{},例如

reg [7:0] vect; wire a; wire [3:0] b, wire [5:0] c; assign a = vect[1]; //取其中1Bit assign b[3:0] = vect[7:4];//截取4Bit assing c[5:0] = {a, b[3:0], 1‘b1}; //拼接

于是舉一反三(zi zuo cong ming),為了實現(xiàn)動態(tài)截取固定長度數(shù)據(jù)的功能,使用軟件編程的思維寫了如下語句,功能很好理解,根據(jù)cnt的值,每次截取vect的5Bit數(shù)據(jù)。:

reg [7:0] vect; reg [1:0] cnt; wire [4:0] out; assign out = vect[cnt+4:cnt];

一頓操作猛如虎,編譯一看傻如狗。使用ModelSim編譯之后,提示有如下語法錯誤:

** Error: test.v(10): Range must be bounded by constant expressions.

提示vect的范圍必須為常量表達式。也就是必須為,vect[6:2]或vect[7:4],不能是vect[a:0],vect[4:b],或vect[a:b]。額,這該怎么辦呢?

既然有這個使用場景,那Verilog在設計之初就應該會考慮到這個應用吧!于是就去翻IEEE的Verilog標準文檔,在5.2.1章節(jié)發(fā)現(xiàn)了一個用法可以實現(xiàn)我這個需求,那就是+:和-:符號,這個用法很少,在大部分關(guān)于FPGA和Verilog書籍中都沒有提到。

大致意思就是,可以實現(xiàn)動態(tài)截取固定長度的數(shù)據(jù),基本語法為:

vect[base+:width]或[base-:width]

其中base可以為變量,width必須為常量。

下面來舉幾個例子來理解這個符號。

有如下定義:

reg [7:0] vect_1; reg [0:7] vect_2; wire [2:0] out;

以下寫法分別表示什么呢?

vect_1[4+:3]; vect_1[4-:3]; vect_2[4+:3]; vect_2[4-:3];

分為三步:

1.先看定義。

vect_1[7:0]定義是大端模式,則vect_1[4+:3]和vect_1[4-:3]轉(zhuǎn)換后也一定為大端模式;vect_2[0:7]定義是小端模式,則vect_2[4+:3]和vect_2[4-:3]轉(zhuǎn)換后也一定為小端模式。

2.再看升降序。

其中+:表示升序,-:表示降序

3.看寬度轉(zhuǎn)換。

vect_1[4+:3]表示,起始位為4,寬度為3,**升序**,則vect_1[4+:3] = vect_1[6:4] vect_1[4-:3]表示,起始位為4,寬度為3,**降序**,則vect_1[4-:3] = vect_1[4:2]

90395bd0-8925-11eb-8b86-12bb97331649.jpg

同理,

vect_2[4+:3]表示,起始位為4,寬度為3,升序,則vect_2[4+:3] = vect_2[4:6] vect_2[4-:3]表示,起始位為4,寬度為3,降序,則vect_2[4-:3] = vect_2[2:4]

90935130-8925-11eb-8b86-12bb97331649.jpg

ModelSim仿真驗證,新建test.v文件:

module test; reg [7:0] vect_1; reg [0:7] vect_2; initial begin vect_1 = ’b0101_1010; vect_2 = ‘b0101_1010; $display(“vect_1[7:0] = %b, vect_2[0:7] = %b”, vect_1, vect_2); $display(“vect_1[4+:3] = %b, vect_1[4-:3] = %b”, vect_1[4+:3], vect_1[4-:3]); $display(“vect_2[4+:3] = %b, vect_2[4-:3] = %b”, vect_2[4+:3], vect_2[4-:3]); $stop; end endmodule

在ModelSim命令窗口輸入:

//進入到源文件所在文件夾 cd c:/users/whik/desktop/verilog //編譯 vlog test.v //仿真 vsim work.test //運行 run -all //運行結(jié)果 # vect_1[7:0] = 01011010, vect_2[0:7] = 01011010 # vect_1[4+:3] = 101, vect_1[4-:3] = 110 # vect_2[4+:3] = 101, vect_2[4-:3] = 011 # ** Note: $stop : test.v(15) # Time: 0 ps Iteration: 0 Instance: /test # Break in Module test at test.v line 15

這種語法表示需要注意,前者起始位可以是變量,后者的寬度必須是常量,即vect[idx+:cnt]不符合語法標準,vect[idx+:4]或vect[idx-:4]才符合。
編輯:lyn

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1339

    瀏覽量

    109882
  • 編程
    +關(guān)注

    關(guān)注

    88

    文章

    3544

    瀏覽量

    93494

原文標題:這個Verilog語法你一定不知道

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    Verilog語法中運算符的用法

    verilog語法中使用以下兩個運算符可以簡化我們的位選擇代碼。
    的頭像 發(fā)表于 10-25 15:17 ?72次閱讀
    <b class='flag-5'>Verilog</b><b class='flag-5'>語法</b>中運算符的用法

    關(guān)于陶瓷電路板不知道的事

    陶瓷電路板(Ceramic Circuit Board),又稱陶瓷基板,是一種以陶瓷材料為基體,通過精密的制造工藝在表面形成電路圖形的高技術(shù)產(chǎn)品,快來看看哪些是您還不知道的事?
    的頭像 發(fā)表于 10-21 11:55 ?57次閱讀
    <b class='flag-5'>關(guān)于</b>陶瓷電路板<b class='flag-5'>你</b><b class='flag-5'>不知道</b>的事

    又一電工不知道,施耐德變頻器怎么復位,如果不告訴知道怎么復位嗎?

    維修 我給他說,可能以前沒接觸過施耐德的變頻器,就不知道它還有一個蓋子,要復位的話,就要把蓋子打開,第一次找不到也正常,要是經(jīng)常斷電重啟的話,對設備不好。 變頻器修理 之所以分享給大家,就是想告訴那些沒接觸過施耐
    的頭像 發(fā)表于 10-12 15:15 ?93次閱讀
    又一電工<b class='flag-5'>不知道</b>,施耐德變頻器怎么復位,如果不告訴<b class='flag-5'>你</b>,<b class='flag-5'>你</b><b class='flag-5'>知道</b>怎么復位嗎?

    FPGA verilog HDL實現(xiàn)中值濾波

    錯誤在哪里,這怎么會是錯誤的呢,為什么不可以這樣寫,我覺得這樣寫才是正確的,這些就是在調(diào)試過程中本人的真實心情寫照呀??墒?,沒有那么多為什么,verilog就是這樣編程的,只是不知道而已!這才
    發(fā)表于 06-18 18:50

    這些不知道的臥式共模電感的選型依據(jù)

    這些不知道的臥式共模電感的選型依據(jù) gujing 編輯:谷景電子 實際上關(guān)于臥式共模電感的選型已經(jīng)有討論過很多這方面的內(nèi)容,但一直都還有人在討論這些問題。本篇我們換幾個角度來探討一下臥式共模電感
    的頭像 發(fā)表于 04-29 22:42 ?288次閱讀

    關(guān)于靜電放電不知道的知識

    在整個半導體制造過程中,微粒污染、靜電放電損壞以及與此相關(guān)聯(lián)的設備停機,是靜電帶來的三大問題。
    的頭像 發(fā)表于 03-27 11:12 ?553次閱讀

    輥壓機軸承位磨損修復不知道的那些事

    電子發(fā)燒友網(wǎng)站提供《輥壓機軸承位磨損修復不知道的那些事.docx》資料免費下載
    發(fā)表于 03-12 15:10 ?0次下載

    吸塵器究竟是如何替“吃灰”的【其利天下技術(shù)】

    如今,吸塵器已成為大多數(shù)人居家必備的小家電產(chǎn)品,那么說起吸塵器,對吸塵器有了解多少呢?不知道大家知不知道它的原理是什么?今天我們就來說一說吸塵器究竟是如何替“吃灰”的。
    的頭像 發(fā)表于 03-07 21:17 ?800次閱讀
    吸塵器究竟是如何替<b class='flag-5'>你</b>“吃灰”的【其利天下技術(shù)】

    昨天看到消息Altera從Intel獨立出來了,不知道大家常用的FPGA是什么?

    昨天看到消息Altera從Intel獨立出來了,不知道大家常用的FPGA是什么?我這邊分成常規(guī)生產(chǎn)治具是altera的,算法和圖像相關(guān)的使用的是Xilinx的;
    發(fā)表于 03-06 13:39

    直插大功率電感不知道怎么選就看這里

    直插大功率電感不知道怎么選就看這里 編輯:谷景電子 關(guān)于直插大功率電感的選型一直是一個難題,要想直插大功率電感的優(yōu)勢在電路中發(fā)揮著作用,那么選型這個環(huán)節(jié)是必不可少并且特別重要。如果對直插大功率電感
    的頭像 發(fā)表于 01-04 22:46 ?355次閱讀

    7種MOSFET柵極電路的常見作用,不看不知道!

    7種MOSFET柵極電路的常見作用,不看不知道!
    的頭像 發(fā)表于 12-15 09:46 ?1669次閱讀
    7種MOSFET柵極電路的常見作用,不看<b class='flag-5'>不知道</b>!

    揭秘pcb是什么物質(zhì):不知道的“化學戰(zhàn)士”

    揭秘pcb是什么物質(zhì):不知道的“化學戰(zhàn)士”
    的頭像 發(fā)表于 12-14 10:27 ?879次閱讀

    verilog中有符號數(shù)和無符號數(shù)的本質(zhì)探究

    不知道有沒有人像我一樣,長久以來將verilog中的有符號數(shù)視為不敢觸碰的禁區(qū)。
    的頭像 發(fā)表于 12-04 16:13 ?935次閱讀
    <b class='flag-5'>verilog</b>中有符號數(shù)和無符號數(shù)的本質(zhì)探究

    關(guān)于手機射頻芯片,不知道的事!

    Chrent手機射頻前端模塊簡介射頻前端模塊(RFFEM:RadioFrequencyFrontEndModule)是手機通信系統(tǒng)的核心組件,對它的理解要從兩方面考慮:一是必要性是連接通信收發(fā)芯片(transceiver)和天線的必經(jīng)通路;二是重要性它的性能直接決定了移動終端可以支持的通信模式,以及接收信號強度、通話穩(wěn)定性、發(fā)射功率等重要性能指標,直接影響終
    的頭像 發(fā)表于 11-16 08:27 ?3620次閱讀
    <b class='flag-5'>關(guān)于</b>手機射頻芯片,<b class='flag-5'>你</b><b class='flag-5'>不知道</b>的事!

    不知道的FPC,它的發(fā)展史竟然是這樣的!

    不知道的FPC,它的發(fā)展史竟然是這樣的!
    的頭像 發(fā)表于 11-15 10:48 ?961次閱讀