0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

VCS獨(dú)立仿真Vivado IP核的一些方法總結(jié)

FPGA之家 ? 來(lái)源:Bug記錄 ? 作者:Bug記錄 ? 2021-03-22 10:31 ? 次閱讀

前年,發(fā)表了一篇文章《VCS獨(dú)立仿真Vivado IP核的一些方法總結(jié)》(鏈接在參考資料1),里面簡(jiǎn)單講述了使用VCS仿真Vivado IP核時(shí)遇到的一些問(wèn)題及解決方案,發(fā)表之后經(jīng)過(guò)一年多操作上也有些許改進(jìn),所以寫這篇文章補(bǔ)充下。

在仿真Vivado IP核時(shí)分兩種情況,分為未使用SECURE IP核和使用了SECURE IP核。

對(duì)于沒(méi)有使用SECURE IP核的IP核仿真,只需要在VCS的命令行加上‘-y’選項(xiàng),并給出庫(kù)文件路徑。這些庫(kù)文件在$VIVADO_INSTALLER_PATHdataverilogsrc,其中$VIVADO_INSTALLER_PATH是VIVADO的安裝路徑,進(jìn)入對(duì)應(yīng)的版本號(hào),比如2019.2,進(jìn)入后找到data文件夾依次往下尋找。找到后可以把這些庫(kù)文件復(fù)制到虛擬機(jī),每個(gè)文件夾的庫(kù)文件包含的內(nèi)容不同,然后如下圖:

即可加入對(duì)應(yīng)的庫(kù)文件夾。IP核仿真結(jié)束。

對(duì)于使用了SECURE IP核仿真的情況,除了要包含上面的這些庫(kù)文件外,還要把SECURE IP加入到VCS;

首先,找到SECURE IP文件夾,在$VIVADO_INSTALLER_PATHdatasecureip,將里面的文件復(fù)制到虛擬機(jī)。每個(gè)文件夾包含的功能不同。

之前的文章講接下來(lái)的操作如下:

操作上還是太復(fù)雜,這里簡(jiǎn)化操作如下。首先,VCS在仿真SECURE IP里面的VP文件時(shí),需要加上一句“+verilog2001ext+.vp”,這句話的意思是將VP文件以verilog2001標(biāo)準(zhǔn)執(zhí)行。出處在:

這是一份日語(yǔ)版本的UG900(參考資料2),但是在最新的UG900 英文版里(參考資料3),并沒(méi)有這相關(guān)的內(nèi)容;我的猜測(cè)是Xilinx不推薦獨(dú)立仿真的方式,更加主推Vivado和VCS聯(lián)合仿真,或者使用“export_simulation”等TCL語(yǔ)句將仿真庫(kù)編譯好直接使用的方式。

此外,也不需要我們修改SECURE IP文件夾的vcs_secureip_cell.list.f里面的路徑,在makefile文件里使用如下方法:

使用export命令定義XILINX_VIVADO的路徑,這樣vcs_secureip_cell.list.f會(huì)根據(jù)這個(gè)路徑去查找對(duì)應(yīng)的文件。

注意,SECURE IP是加密之后的IP,而VIVADO在2016版本后更換了加密密鑰,所以VCS2017之前的版本只能使用VIVADO2017之前的SECURE IP。

此外,還有網(wǎng)友提出問(wèn)題,VIVADO項(xiàng)目(source)IP核文件夾里,哪些東西是對(duì)仿真有用的?

首先,IP核文件夾里的$IP_NAME_stub.v,$IP_NAME是生成IP的名字,這個(gè)文件是沒(méi)有用的,它只有對(duì)IP的端口定義;其次,進(jìn)行功能仿真時(shí),可以選擇$IP_NAME_sim_netlist.v,這個(gè)文件注釋專門寫著是為功能仿真準(zhǔn)備的,推薦使用這類文件;最后,也可以使用$IP_NAME.v,這個(gè)文件大多數(shù)情況也可以用來(lái)仿真。

注意,如果存在$IP_NAME_sim_netlist.v和$IP_NAME.v時(shí),只能選擇一個(gè)文件加入仿真,否則會(huì)出現(xiàn)redefine的報(bào)錯(cuò)。因?yàn)镮P核文件夾里面可能存在多個(gè)verilog文件,推薦刪去$IP_NAME_stub.v,保留$IP_NAME_sim_netlist.v和$IP_NAME.v中的一個(gè),然后在VCS命令里將文件夾的verilog都包含進(jìn)來(lái)。

可能有人就會(huì)問(wèn),既然項(xiàng)目里的IP文件夾包含了verilog文件,為什么還要加入那些庫(kù);這些庫(kù)大部分是作為基礎(chǔ)庫(kù)存在,供各類IP核調(diào)用,是它們的相同部分,而項(xiàng)目里的IP文件夾是它們之間不同的部分。

對(duì)于IP核文件只有VHDL的情況請(qǐng)看參考資料4。

原文標(biāo)題:VCS獨(dú)立仿真Vivado IP核的問(wèn)題補(bǔ)充

文章出處:【微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • IP
    IP
    +關(guān)注

    關(guān)注

    5

    文章

    1579

    瀏覽量

    149138
  • VCS
    VCS
    +關(guān)注

    關(guān)注

    0

    文章

    78

    瀏覽量

    9561

原文標(biāo)題:VCS獨(dú)立仿真Vivado IP核的問(wèn)題補(bǔ)充

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Vivado 2024.1版本的新特性(2)

    從綜合角度看,Vivado 2024.1對(duì)SystemVerilog和VHDL-2019的一些特性開(kāi)始支持。先看SystemVerilog。
    的頭像 發(fā)表于 09-18 10:34 ?410次閱讀
    <b class='flag-5'>Vivado</b> 2024.1版本的新特性(2)

    FPGA的IP使用技巧

    仿真,需要經(jīng)過(guò)綜合以及布局布線才能使用。 IP的優(yōu)點(diǎn)在于其靈活性高、可移植性強(qiáng),允許用戶自配置。然而,其缺點(diǎn)在于對(duì)模塊的預(yù)測(cè)性較低,在后續(xù)設(shè)計(jì)中存在發(fā)生錯(cuò)誤的可能性,有定的設(shè)計(jì)風(fēng)
    發(fā)表于 05-27 16:13

    原生獨(dú)立ip的原理是什么?

    的原理是什么? 以下是原生獨(dú)立IP一些關(guān)鍵原理: 1、單獨(dú)性:每個(gè)原生獨(dú)立IP都是單獨(dú)的,確保了在互聯(lián)網(wǎng)上設(shè)備能夠被準(zhǔn)確識(shí)別和通信。 2、
    的頭像 發(fā)表于 05-07 17:24 ?304次閱讀

    關(guān)于FPGA IP

    對(duì)于深入學(xué)習(xí)使用FPGA的小伙伴們,特別是一些復(fù)雜的、大規(guī)模的設(shè)計(jì)應(yīng)用,適宜的IP核對(duì)開(kāi)發(fā)能起到事半功倍的作用。IP的概念與我們sdk里庫(kù)的概念相似。
    發(fā)表于 04-29 21:01

    Vivado 使用Simulink設(shè)計(jì)FIR濾波器

    領(lǐng)域都有著廣泛的應(yīng)用。 Vivado自帶的FIR濾波器IP已經(jīng)很好用,這里借FIR濾波器的設(shè)計(jì),介紹Simulink圖形設(shè)計(jì)編程方法。Simulink可以使設(shè)計(jì)更直觀,使硬件資源得到
    發(fā)表于 04-17 17:29

    VCS 仿真option 解析

    VCS仿真選項(xiàng)分編譯(compile-time)選項(xiàng)和運(yùn)行(run-time)選項(xiàng)。編譯選項(xiàng)用于RTL/TB的編譯,遍是編譯了就定了,不能在仿真中更改其特性,例如define等等。
    的頭像 發(fā)表于 01-06 10:19 ?2138次閱讀

    如何使用SystemC做RTL和C/C++的聯(lián)合仿真呢?

    當(dāng)FPGA開(kāi)發(fā)者需要做RTL和C/C++聯(lián)合仿真的時(shí)候,一些常用的方法包括使用MicroBlaze軟,或者使用QEMU仿真ZYNQ的PS部
    的頭像 發(fā)表于 12-13 10:13 ?1046次閱讀
    如何使用SystemC做RTL和C/C++的聯(lián)合<b class='flag-5'>仿真</b>呢?

    介紹種通過(guò)SystemC做RTL/C/C++聯(lián)合仿真方法

    當(dāng)FPGA開(kāi)發(fā)者需要做RTL和C/C++聯(lián)合仿真的時(shí)候,一些常用的方法包括使用MicroBlaze軟,或者使用QEMU仿真ZYNQ的PS部
    的頭像 發(fā)表于 12-13 10:11 ?1536次閱讀
    介紹<b class='flag-5'>一</b>種通過(guò)SystemC做RTL/C/C++聯(lián)合<b class='flag-5'>仿真</b>的<b class='flag-5'>方法</b>

    FPGA實(shí)現(xiàn)基于Vivado的BRAM IP的使用

    Xilinx公司的FPGA中有著很多的有用且對(duì)整個(gè)工程很有益處的IP,比如數(shù)學(xué)類的IP,數(shù)字信號(hào)處理使用的IP
    的頭像 發(fā)表于 12-05 15:05 ?1380次閱讀

    怎樣單獨(dú)使用modelsim仿真xilinx呢?

    直接在modelsim軟件內(nèi)執(zhí)行.do文件進(jìn)行仿真,不通過(guò)vivado調(diào)用modelsim,vivado僅用于生成IP
    的頭像 發(fā)表于 12-04 18:26 ?1202次閱讀
    怎樣單獨(dú)使用modelsim<b class='flag-5'>仿真</b>xilinx呢?

    FPGA新IP學(xué)習(xí)的正確打開(kāi)方式

    的情況時(shí),總會(huì)遇到一些以前未曾接觸過(guò)的新內(nèi)容,這些新內(nèi)容會(huì)讓我們感到陌生和恐懼,不知道該如何下手。 那么今天以xilinx vivado 為例分享學(xué)習(xí)FPGA 新IP的正確打開(kāi)方式
    發(fā)表于 11-17 11:09

    PCB抄板的一些方法

    拆掉所有器多層板抄板件,并且將PAD孔里的錫去掉。用酒精將PCB清洗干凈,然后放入掃描儀內(nèi),掃描儀掃描的時(shí)候需要稍調(diào)高一些掃描的像素, 以便得到較清晰的圖像。
    的頭像 發(fā)表于 11-15 17:04 ?830次閱讀
    PCB抄板的<b class='flag-5'>一些</b><b class='flag-5'>方法</b>

    Vivado2018版本中Modelsim的配置

    Vivado自帶的仿真工具在一些基本功能的仿真測(cè)試時(shí)是可以滿足的,但如果你的工程較為龐大,那么自帶的仿真工具將有些勉強(qiáng),除了在數(shù)據(jù)輸出方面的
    的頭像 發(fā)表于 11-08 14:47 ?1646次閱讀
    <b class='flag-5'>Vivado</b>2018版本中Modelsim的配置

    vcs和xrun搭配uvm1.1/uvm1.2版本庫(kù)的使用情況

    我們?cè)陧?xiàng)目中有時(shí)候需要使用不同的UVM版本庫(kù)進(jìn)行仿真,有時(shí)候還會(huì)在不同的仿真器之間進(jìn)行切換,本文簡(jiǎn)單總結(jié)vcs和xrun搭配uvm1.
    的頭像 發(fā)表于 10-27 09:41 ?3522次閱讀

    vcs實(shí)用技巧

    VCS是編譯型verilog仿真器,VCS先將verilog/systemverilog文件轉(zhuǎn)化為C文件,在linux下編譯生成的可執(zhí)行文./simv即可得到仿真結(jié)果。
    的頭像 發(fā)表于 10-25 17:22 ?909次閱讀
    <b class='flag-5'>vcs</b>實(shí)用技巧