0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

智能跟蹤SoC驗證進度的方法

VIBT_dputech ? 來源:大普微 ? 作者:大普微 ? 2021-03-28 10:52 ? 次閱讀

隨著芯片技術(shù)的不斷發(fā)展,特別是芯片工藝水平的提升,芯片規(guī)模越來越大,這也為芯片邏輯功能驗證帶來了很大的挑戰(zhàn)。如何保證產(chǎn)品上市時間(TimetoMarket),快速完成功能驗證和達成較高的覆蓋率,已成為驗證進程管理的棘手問題。本文主要跟小伙伴們聊一聊智能跟蹤SoC驗證進度的方法。

EDA工具兩大巨頭Synopsys和Cadence都有自己的驗證計劃工具,分別是Synopsys公司的VerificationPlanner和Cadence的vmanager,兩者各有千秋。今天我們主要針對的是Synopsys的層次化驗證計劃工具。該工具采用自定義的描述語言HVP(Hierarchical Verification Plan),層次化地描述驗證計劃,并在驗證計劃的實施過程中,通過測試數(shù)據(jù)結(jié)果,反標回驗證計劃,根據(jù)產(chǎn)生相應(yīng)的狀態(tài)報告,可以有效地追蹤驗證的整個進程。其基本流程如圖1所示。

3efeea52-8eb9-11eb-8b86-12bb97331649.png

圖1:HVP基本流程

該流程需要驗證人員首先編寫驗證計劃,驗證計劃通常需要Synopsys提供的另一種工具Verdi或DVE編輯HVP文件,或者直接采用office工具Excel編輯XML格式文件。在項目驗證計劃中會包含測試計劃、功能覆蓋率計劃、斷言覆蓋計劃、代碼覆蓋率計劃等等。下面將介紹HVP是如何編寫來映射我們上述提到的各種計劃的。

驗證計劃有自己的.hvp格式,如圖2 HVP描述示例。該文件可以比較容易的通過Verdi生成模板。

3f508cfe-8eb9-11eb-8b86-12bb97331649.png

圖2:HVP描述示例

當然,如果你比較鐘愛Excel,那么可以用命令將hvp計劃文件轉(zhuǎn)換成XML格式:

hvpgenxls–planmy_plan.hvp –lca

這樣就可以用Excel打開生成的如my_plan.hvp.xml文件了,如圖3所示。

3fb2c6d0-8eb9-11eb-8b86-12bb97331649.png

圖3:ExcelXML格式驗證計劃

從圖3中可以看出,測試項填在feature列,分層計劃一方面就體現(xiàn)在feature是分級的,C列feature為B列的子feature。另一方面體現(xiàn)在plan可以include子plan,如圖4中include列即為myplan包含了cache_plan。帶有value關(guān)鍵字列表示測試項完成度情況,而帶有measure關(guān)鍵字列則表示對應(yīng)測試項如何衡量,也即我們所提的如代碼覆蓋率,功能覆蓋率,斷言覆蓋率了。如圖5中“measure snps.source”列中,group關(guān)鍵字表征功能覆蓋率,property關(guān)鍵字表征斷言覆蓋率,tree關(guān)鍵字則表征代碼覆蓋率。

4014d5dc-8eb9-11eb-8b86-12bb97331649.png

圖4:包含子plan示例

409408a2-8eb9-11eb-8b86-12bb97331649.png

圖5:包含代碼代碼覆蓋率、功能覆蓋率和斷言覆蓋率的plan示例

好了,我們的驗證計劃完成了,下一步就要開發(fā)測試用例并作回歸測試。所有測試用例運行完成后,仿真工具VCS會收集這些覆蓋率并輸出數(shù)據(jù)庫。此外,當然我們也希望會收集測試用例是pass還是fail的信息,作為反標信息的用戶自定義輸入信息。有了這些數(shù)據(jù),通過反標工具就可以看到驗證報告了,運行如下命令:

hvpannotate –plan my_plan.hvp.xml –dir *.vdb –userdata *.hud

可以得到my_plan.hvp.ann.xml文件,類似如圖6所有的形式。

4125b0f4-8eb9-11eb-8b86-12bb97331649.png

圖6:反標后的hvp計劃示例

寫到這里,我們的驗證工程師們確實被這種可視化的、自動化的驗證計劃管理驚艷到了。整個驗證跟蹤過程被自動化,既保證了跟蹤的準確性,也避免了工程繁瑣的管理和手工勞動。重要的一點是,老大再問起驗得咋樣了,我們就可以以數(shù)據(jù)說話:“老大,就一個功能覆蓋點沒覆蓋到了,你看?!鳖I(lǐng)導(dǎo)滿意的點點頭:“嗯,小伙子不錯,年輕有為!”

但是,我們的工程師們也注意到了,填寫功能覆蓋率計劃的時候,要從我們測試平臺中找出covergroup或coverpoint的層次路徑,如圖5中的group instance和group bin。這個可麻煩了,有沒有好的方法不去寫層次路徑,只寫coveragegroup和coverpoint名呢?這個難不倒我們的技術(shù)牛們,他們創(chuàng)造了一個新的HVP流程,如圖7所示。一個新的HVP模板如圖8所示,讓驗證人員只需要填寫class.covergroup[.coverpoint[.bin]]這樣格式的命名來表征當前feature或子feature由哪個covergroup和coverpoint覆蓋測試,然后運行我們開發(fā)的工具xhvp,可以輸出最終的hvp文件和用Systemverilog語言描述的function coverage類聲明文件了。通過上述的步驟,我們的技術(shù)牛把function coverage的代碼都生成好了,對驗證人員真是無比的體貼,大大減輕驗證人員的負擔。當然也大大提高驗證評審的效率,從而加快項目驗證進度。

41b33c3a-8eb9-11eb-8b86-12bb97331649.png

圖7:新的HVP流程

4222b650-8eb9-11eb-8b86-12bb97331649.png

圖8:HVP模板計劃頁示例

至此,這套大殺器介紹完了,它在我們的DPU600芯片驗證中已經(jīng)被完美地使用。作為DapuStor業(yè)內(nèi)首創(chuàng)的智能存儲SoC芯片,基于最新的12nm FinFET工藝,即有業(yè)內(nèi)領(lǐng)先性能的SSD主控功能,還集成了可計算存儲,機器學(xué)習(xí)等高大上的特性,同時還擁有強大的功耗管理,晶體管數(shù)接近億級,這么多強大的功能,其驗證復(fù)雜度可想而知,得益于我們的HVP流程, DPU600芯片的邏輯驗證順利完成,而且一次流片量產(chǎn)成功,基于DPU600產(chǎn)品也即將面世,敬請期待哦!

原文標題:芯片驗證管理,也能自動跟蹤!

文章出處:【微信公眾號:大普微】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

責任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    50033

    瀏覽量

    419896
  • eda
    eda
    +關(guān)注

    關(guān)注

    71

    文章

    2673

    瀏覽量

    172617

原文標題:芯片驗證管理,也能自動跟蹤!

文章出處:【微信號:dputech,微信公眾號:DapuStor】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    解鎖SoC “調(diào)試”挑戰(zhàn),開啟高效原型驗證之路

    的需求。因此,高效的調(diào)試(Debugging)手段在原型驗證中顯得尤為重要。今天,我們將探討設(shè)計調(diào)試的常見方法,涵蓋從簡單到復(fù)雜的多種調(diào)試。1.原型驗證為什么重要
    的頭像 發(fā)表于 10-09 08:04 ?365次閱讀
    解鎖<b class='flag-5'>SoC</b> “調(diào)試”挑戰(zhàn),開啟高效原型<b class='flag-5'>驗證</b>之路

    高抗噪性 電壓跟蹤

    電壓跟蹤
    jf_30741036
    發(fā)布于 :2024年09月29日 19:26:44

    避免智能手機應(yīng)用 SOC 跳變的 TI 監(jiān)測計方法應(yīng)用說明

    電子發(fā)燒友網(wǎng)站提供《避免智能手機應(yīng)用 SOC 跳變的 TI 監(jiān)測計方法應(yīng)用說明.pdf》資料免費下載
    發(fā)表于 09-12 10:49 ?0次下載
    避免<b class='flag-5'>智能</b>手機應(yīng)用 <b class='flag-5'>SOC</b> 跳變的 TI 監(jiān)測計<b class='flag-5'>方法</b>應(yīng)用說明

    光學(xué)跟蹤器接口連接方法有哪些

    光學(xué)跟蹤器是一種用于測量和跟蹤物體位置和運動的設(shè)備,廣泛應(yīng)用于航空航天、軍事、機器人、虛擬現(xiàn)實等領(lǐng)域。光學(xué)跟蹤器接口連接方法是指將光學(xué)跟蹤
    的頭像 發(fā)表于 08-29 18:26 ?521次閱讀

    機器學(xué)習(xí)中的交叉驗證方法

    在機器學(xué)習(xí)中,交叉驗證(Cross-Validation)是一種重要的評估方法,它通過將數(shù)據(jù)集分割成多個部分來評估模型的性能,從而避免過擬合或欠擬合問題,并幫助選擇最優(yōu)的超參數(shù)。本文將詳細探討幾種
    的頭像 發(fā)表于 07-10 16:08 ?620次閱讀

    Silicon Labs藍牙SoC BG27榮獲2024年資產(chǎn)跟蹤產(chǎn)品獎

    Silicon Labs(亦稱“芯科科技”)的BG27藍牙SoC近期榮獲IoT Evolution World網(wǎng)站舉辦的2024年資產(chǎn)跟蹤產(chǎn)品獎(2024 IoT Evolution Asset
    的頭像 發(fā)表于 06-18 16:38 ?522次閱讀

    大規(guī)模 SoC 原型驗證面臨哪些技術(shù)挑戰(zhàn)?

    引言隨著電子設(shè)計自動化(EDA)驗證工具的重要性日益增加,開發(fā)者們開始尋求減少流片成本和縮短開發(fā)周期的方法。其中,使用可編程邏輯芯片(FPGA)來構(gòu)建有效的驗證流程成為一種流行的解決方案,這種
    的頭像 發(fā)表于 06-06 08:23 ?1040次閱讀
    大規(guī)模 <b class='flag-5'>SoC</b> 原型<b class='flag-5'>驗證</b>面臨哪些技術(shù)挑戰(zhàn)?

    SOC的多種計算方法

    SOC的多種計算方法
    的頭像 發(fā)表于 06-05 09:34 ?1741次閱讀
    【<b class='flag-5'>SOC</b>的多種計算<b class='flag-5'>方法</b>】

    【AWTK使用經(jīng)驗】如何設(shè)計立體電池進度條?

    AWTK是基于C語言開發(fā)的跨平臺GUI框架?!禔WTK使用經(jīng)驗》系列文章將介紹開發(fā)AWTK過程中一些常見問題與解決方案,例如:如何加載外部資源?如何設(shè)計自定義進度條?這些都會在系列文章進行解答
    的頭像 發(fā)表于 04-18 08:25 ?382次閱讀
    【AWTK使用經(jīng)驗】如何設(shè)計立體電池<b class='flag-5'>進度</b>條?

    北京清微智能科技有限公司發(fā)布IC驗證方法,實現(xiàn)雙DUT驗證

    清微智能在本周宣布新型專利“一種IC驗證中實現(xiàn)雙DUT驗證方法”已于今年3月獲批。該項專利內(nèi)容由該集團獨立研究,申請日期優(yōu)先于2024年3月26日。
    的頭像 發(fā)表于 04-08 10:07 ?488次閱讀
    北京清微<b class='flag-5'>智能</b>科技有限公司發(fā)布IC<b class='flag-5'>驗證</b>新<b class='flag-5'>方法</b>,實現(xiàn)雙DUT<b class='flag-5'>驗證</b>

    Versal自適應(yīng)SoC系統(tǒng)集成和 確認方法指南

    電子發(fā)燒友網(wǎng)站提供《Versal自適應(yīng)SoC系統(tǒng)集成和 確認方法指南.pdf》資料免費下載
    發(fā)表于 01-03 10:48 ?0次下載
    Versal自適應(yīng)<b class='flag-5'>SoC</b>系統(tǒng)集成和 確認<b class='flag-5'>方法</b>指南

    UVVM(通用 VHDL 驗證方法

    UVVM(通用 VHDL 驗證方法) 簡介? UVVM(通用 VHDL 驗證方法)是一種免費的開源方法和庫,用于開發(fā)非常結(jié)構(gòu)化的基于 VHD
    發(fā)表于 01-02 12:59

    開源VHDL驗證方法 (OSVVM)

    電子發(fā)燒友網(wǎng)站提供《開源VHDL驗證方法 (OSVVM).docx》資料免費下載
    發(fā)表于 12-26 09:57 ?0次下載

    智能座艙SoC芯片應(yīng)用需求趨勢分析

    隨著科技的快速發(fā)展,人工智能、物聯(lián)網(wǎng)、大數(shù)據(jù)等技術(shù)的廣泛應(yīng)用正在改變著汽車行業(yè)。作為現(xiàn)代汽車的重要組成部分,智能座艙已經(jīng)成為了汽車行業(yè)創(chuàng)新的重要方向。智能座艙的核心是SoC芯片,它集成
    的頭像 發(fā)表于 12-23 14:59 ?840次閱讀
    <b class='flag-5'>智能</b>座艙<b class='flag-5'>SoC</b>芯片應(yīng)用需求趨勢分析

    焊縫跟蹤未來:人工智能與機器學(xué)習(xí)的影響

    隨著科技的不斷進步,焊接行業(yè)也在迎來一場革命性的變革。焊縫跟蹤技術(shù),作為焊接領(lǐng)域的關(guān)鍵創(chuàng)新之一,正在經(jīng)歷著人工智能和機器學(xué)習(xí)的引領(lǐng)下迎來更加智能、高效的發(fā)展。本文將深入探討焊縫跟蹤技術(shù)
    的頭像 發(fā)表于 12-12 11:51 ?419次閱讀