0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

詳解Vivado與Modelsim關(guān)聯(lián)方法及器件庫編譯

FPGA之家 ? 來源:博客園 ? 作者:A風(fēng)箏 ? 2021-04-15 10:10 ? 次閱讀

一、在vivado中設(shè)置modelsim(即第三方仿真工具)的安裝路徑。在vivado菜單中選擇“Tools”——》“Options.。。”,選擇“General”選項卡,將滾動條拉倒最底部,在“QuestaSim/ModelSim install path”欄中輸入或選擇modelsim工具的安裝路徑,如圖1所示。

b26d950c-9d13-11eb-8b86-12bb97331649.jpg

圖1 設(shè)置modelsim的安裝路徑 二、器件庫編譯。首先,在modelsim安裝路徑中新建一個名為vivado2014_lib的文件夾(路徑和文件名可改),如圖2所示。

b284283a-9d13-11eb-8b86-12bb97331649.jpg

圖2 在modelsim安裝路徑下新建vivado2014_lib文件夾 接著選擇vivado菜單“Tools”——》“Compile Simulation Libraries.。。”命令,如圖3所示。

b30b1b1a-9d13-11eb-8b86-12bb97331649.png

圖3 選擇“Compile Simulation Libraries.。?!泵?/p>

在彈出的對話框中設(shè)置器件庫編譯參數(shù),仿真工具“Simulator”選為ModelSim,語言“Language”、庫“Library”、器件家族“Family”都為默認設(shè)置All(當(dāng)然也可以根據(jù)自己的需求進行設(shè)置),然后在“Compiled library location”欄設(shè)置編譯器件庫的路徑,這里選前面新建的vivado2014_lib文件夾,此外在“Simulator executable path”欄設(shè)置modelsim執(zhí)行文件的路徑,其他參數(shù)默認,如圖4所示。

b335d986-9d13-11eb-8b86-12bb97331649.jpg

圖4 設(shè)置器件庫編譯參數(shù)

設(shè)置好參數(shù)后點擊“Compile”按鈕開始器件庫的編譯。圖5所示為正在編譯器件庫的過程中。器件庫編譯結(jié)束后給出編譯報告,從報告中看出0個警告和0個錯誤,如圖6所示。

b35e35ca-9d13-11eb-8b86-12bb97331649.jpg

圖5 正在編譯器件庫的過程中

b3a151fc-9d13-11eb-8b86-12bb97331649.jpg

圖6 器件庫

編譯結(jié)束后產(chǎn)生編譯報告 打開modelsim安裝路徑下的vivado2014_lib文件夾,便可以看到已經(jīng)產(chǎn)生了器件庫,如圖7所示。

b3af1d1e-9d13-11eb-8b86-12bb97331649.jpg

圖7 已在vivado2014_lib文件夾中生成器件庫

三、在vivado中關(guān)聯(lián)了modelsim軟件和編譯器件庫之后,就可以在vivado中調(diào)用modelsim軟件對設(shè)計進行仿真了。

不過,在對每一個新建的工程設(shè)計進行仿真時需要進行一些設(shè)置。選擇vivado菜單“Flow”——》“Simulation Settings.。?!泵罨螯c擊流程向?qū)е羞x擇“Simulation Settings.。。”命令,分別如圖8和圖9所示。

b3c1b898-9d13-11eb-8b86-12bb97331649.jpg

圖8 從菜單選擇“Simulation Settings.。。”命令

b3e30e80-9d13-11eb-8b86-12bb97331649.png

圖9 從流程向?qū)е羞x擇“Simulation Settings.。。”命令

在彈出的對話框中,設(shè)置仿真工具為modelsim、仿真語言為verilog或VHDL或混合,當(dāng)設(shè)計中用到vivado中自帶的仿真工具時,還要指定器件庫的路徑,如圖10所示。關(guān)于仿真的其他參數(shù)在這里就不作介紹了。

b3f2ffd4-9d13-11eb-8b86-12bb97331649.jpg

圖10 設(shè)置仿真參數(shù)

設(shè)置好仿真參數(shù)后,如果設(shè)計文件和仿真文件也準備好,那么就可以開始對設(shè)計的功能進行仿真了。選擇菜單“Flow”——》“Run Simulation”——》選相應(yīng)的仿真類型或點擊流程向?qū)е械摹癛un Simulation”——》選相應(yīng)的仿真類型進行仿真,如圖11所示。

b400a792-9d13-11eb-8b86-12bb97331649.png

圖11 選擇相應(yīng)的仿真類型進行仿真
編輯:lyn

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • ModelSim
    +關(guān)注

    關(guān)注

    5

    文章

    172

    瀏覽量

    47063
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    799

    瀏覽量

    66137

原文標題:Vivado與Modelsim關(guān)聯(lián)方法及器件庫編譯

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    淺談Vivado編譯時間

    隨著FPGA規(guī)模的增大,設(shè)計復(fù)雜度的增加,Vivado編譯時間成為一個不可回避的話題。尤其是一些基于SSI芯片的設(shè)計,如VU9P/VU13P/VU19P等,布局布線時間更是顯著增加。當(dāng)然,對于一些設(shè)計而言,十幾個小時是合理的。但我們依然試圖分析設(shè)計存在的問題以期縮短
    的頭像 發(fā)表于 09-18 10:43 ?327次閱讀
    淺談<b class='flag-5'>Vivado</b><b class='flag-5'>編譯</b>時間

    linux驅(qū)動程序的編譯方法有哪兩種

    Collection)或其他C/C++編譯器來編譯源代碼文件。這種方法較為原始,需要開發(fā)者手動指定編譯器選項、包含路徑、文件等。然而,在
    的頭像 發(fā)表于 08-30 14:39 ?382次閱讀

    如何在ModelSim中添加Xilinx仿真

    文件夾: 8、右鍵打開modelsim目錄下的modelsim.ini文件,先將其“只讀”屬性去掉。然后用記事本打開。在[Library]下面添加如下代碼,即之前編譯好的Xilinx
    發(fā)表于 07-03 18:16

    ELF 1技術(shù)貼|如何在Ubuntu中編譯OpenCV

    在之前發(fā)布的文章中探討了交叉編譯OpenCV并部署至嵌入式系統(tǒng)的方法。然而,在調(diào)試階段,我們發(fā)現(xiàn)在Ubuntu環(huán)境下將OpenCV編譯為X86架構(gòu)可能更加方便和高效。通過在主機上編譯
    的頭像 發(fā)表于 05-31 16:41 ?1097次閱讀
    ELF 1技術(shù)貼|如何在Ubuntu中<b class='flag-5'>編譯</b>OpenCV<b class='flag-5'>庫</b>

    鴻蒙OpenHarmony:【常見編譯問題和解決方法

    常見編譯問題和解決方法
    的頭像 發(fā)表于 05-11 16:09 ?1707次閱讀

    Vivado編譯常見錯誤與關(guān)鍵警告梳理與解析

    Xilinx Vivado開發(fā)環(huán)境編譯HDL時,對時鐘信號設(shè)置了編譯規(guī)則,如果時鐘由于硬件設(shè)計原因分配到了普通IO上,而非_SRCC或者_MRCC專用時鐘管腳上時,編譯器就會提示錯誤。
    的頭像 發(fā)表于 04-15 11:38 ?4270次閱讀

    ISE 關(guān)聯(lián) Modelsim 詳細操作

    Compilation Wizard”,注意,64位系統(tǒng)中默認安裝了64位和32位的ISE,如果想要用32位的ISE,那么就選擇32位的編譯工具,而且,Modelsim也得安裝32位的版本。 打開工具后
    發(fā)表于 03-22 18:55

    最實用的Modelsim使用教程

    。選定了器件分配引腳后在做后仿真。 3.3 Modelsim仿真的基本步驟 Modelsim的仿真主要有以下幾個步驟:(1)建立并映射
    發(fā)表于 03-19 16:40

    ISE與Modelsim關(guān)聯(lián)設(shè)置的詳細操作步驟

    最后,在output directory for complied libraries下面填入輸出已編譯的路徑,默認也可。文件夾的名字以及路徑不能有中文。
    的頭像 發(fā)表于 03-13 10:13 ?1450次閱讀
    ISE與<b class='flag-5'>Modelsim</b><b class='flag-5'>關(guān)聯(lián)</b>設(shè)置的詳細操作步驟

    如何禁止vivado自動生成 bufg

    定和可靠。Vivado編譯設(shè)計過程中會自動檢測到時鐘信號,并自動生成BUFG來緩沖時鐘。然而,在某些情況下,我們可能希望手動管理時鐘信號。 要禁止Vivado自動生成BUFG,可以按照以下步驟進行
    的頭像 發(fā)表于 01-05 14:31 ?1714次閱讀

    德明利關(guān)聯(lián)交易未披露,實際控制人被警示?

    這份《警示函》揭示了德明利存在的問題,包括控股子公司與關(guān)聯(lián)方合資設(shè)立新公司的關(guān)聯(lián)交易未及時披露,部分重要事項信息知情人不完整,且未對此進行確認。此外,該公司董事長李虎、前總經(jīng)理兼董秘田華也被指應(yīng)對此負責(zé)。
    的頭像 發(fā)表于 12-29 13:47 ?569次閱讀

    華燦光電計劃動用募資,向關(guān)聯(lián)方購置生產(chǎn)設(shè)備

    由于華燦光電與北方華創(chuàng)的大股東均為北京電控,依據(jù)交易所規(guī)則對此類關(guān)聯(lián)交易加以明確,故北方華創(chuàng)被設(shè)定為華燦光電的關(guān)聯(lián)方。自2023年起,除了此次關(guān)聯(lián)交易,華燦光電與北方華創(chuàng)以及與其同屬同一實控人領(lǐng)導(dǎo)或者存在控制關(guān)系的其它
    的頭像 發(fā)表于 12-26 10:56 ?808次閱讀

    怎樣單獨使用modelsim仿真xilinx呢?

    直接在modelsim軟件內(nèi)執(zhí)行.do文件進行仿真,不通過vivado調(diào)用modelsim,vivado僅用于生成IP核。
    的頭像 發(fā)表于 12-04 18:26 ?1205次閱讀
    怎樣單獨使用<b class='flag-5'>modelsim</b>仿真xilinx呢?

    sql關(guān)聯(lián)查詢中的主表和從表

    SQL關(guān)聯(lián)查詢是數(shù)據(jù)中非常重要的一項操作,用于聯(lián)合多個表中的數(shù)據(jù),并根據(jù)指定的條件進行篩選和整合,從而得到更加豐富和準確的結(jié)果集。在關(guān)聯(lián)查詢中,主表和從表起著不同的作用,通過合理的關(guān)聯(lián)方
    的頭像 發(fā)表于 11-23 11:41 ?1190次閱讀

    Vivado2018版本中Modelsim的配置

    Vivado自帶的仿真工具在一些基本功能的仿真測試時是可以滿足的,但如果你的工程較為龐大,那么自帶的仿真工具將有些勉強,除了在數(shù)據(jù)輸出方面的卡頓,在仿真速度上也可能無法接受,這里可以借助第三方仿真工具進行工程仿真測試,Vivado2018各版本支持的仿真工具見下。
    的頭像 發(fā)表于 11-08 14:47 ?1652次閱讀
    <b class='flag-5'>Vivado</b>2018版本中<b class='flag-5'>Modelsim</b>的配置