0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

關于Xilinx中DDS IP的運用與講解

Hx ? 來源:CSDN技術社區(qū) ? 作者:朽月 ? 2021-04-27 16:00 ? 次閱讀

項目簡述

本次項目我們主要是為了講解DDS,所以我們使用了混頻這個小項目來講解。DDS自己手寫是比較簡單且靈活,但是Xilinx給我們提供了相應的IP核,那么這次我們將直接講解使用IP來產(chǎn)生不同頻率的正弦波。這篇博客我們也首次引入了Xilinx中block design的設計方法,由于AXI4總線的出現(xiàn),我們使用block design的設計概念特別簡單。本次的項目主要是:利用兩個DDS產(chǎn)生兩個不同頻率的正弦波,然后進行***相乘***混頻,利用Modelsim進行仿真驗證我們實驗的正確性。本次實驗所用到的軟硬件環(huán)境為:

1、VIVADO 2019.1軟件環(huán)境

2、Modelsim仿真環(huán)境

DDS IP的定制及講解

100062876-125606-1.png

1、我們選擇波形與相位同時存在的情況。

2、選擇DDS IP輸入的系統(tǒng)時鐘頻率,這里我們選擇100MHz。

3、DDS通道的數(shù)目,我們這里選擇一個。

4、整個IP配置的模式,我們這里選擇標準模式即可。

5、參數(shù)選擇的模式,我們這里選擇系統(tǒng)參數(shù),這個選擇主要影響IP的定制界面所涉及到的參數(shù)。

6、頻率的動態(tài)范圍,主要和DDS內(nèi)部RAM的數(shù)據(jù)位寬有關,計算方法是20log2n,其中n為RAM的位寬。

7、選擇DDS的最小的頻率分辨率。

100062876-125607-2.png

1、相位增量我們選擇固定

2、相位偏執(zhí)我們也選擇成固定,這兩個參數(shù)可以動態(tài)控制輸出的頻率。

3、我們這里產(chǎn)生正弦波

4、因為我們只考慮正弦波的頻率,座椅這里不再輸出相位信息

100062876-125608-3.png

1、輸入我們需要DDS輸出的頻率,這里我們輸入5MHz。

其余選項,我們選擇默認即可。這樣,我們便定制了一個完整的DDS IP核,接下來的博客我們會自己手寫相應的DDS的功能,感興趣的同學可以保持關注。

Block Design涉及

我們這邊博客首次引入了block design的設計方法,但是這個設計方法特別重要,希望同學們可以好好學習。進行block design的連線圖如下:

100062876-125609-4.png

然后驗證設計的有效性,產(chǎn)生輸出工程,生成相應的top文件,供我們接下來的設計使用。

測試文件代碼

這里我們?yōu)榱蓑炞C我們實驗的正確性,給出相應的測試模塊。

`timescale 1ns / 1ps // // Company: // Engineer: // // Create Date: 2020/04/03 22:39:17 // Design Name: // Module Name: tb // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // // module tb; wire [15:0] P ; reg aclk ; initial begin aclk = 1‘b0; end always #5 aclk = ~aclk; system_wrapper system_wrapper_inst( .P (P ), .aclk (aclk ) ); endmodule

仿真結果

我們進行Modelsim仿真測試的結果如下:

100062876-125610-5.png

從上面的仿真波形可以驗證我們實驗的準確性。
編輯:lyn

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Xilinx
    +關注

    關注

    71

    文章

    2152

    瀏覽量

    120726
  • IP
    IP
    +關注

    關注

    5

    文章

    1584

    瀏覽量

    149155
  • DDS
    DDS
    +關注

    關注

    21

    文章

    628

    瀏覽量

    152437
收藏 人收藏

    評論

    相關推薦

    Xilinx DDS IP核的使用和參數(shù)配置

    用RAM實現(xiàn)一個DDS,從原理上來說很簡單,在實際使用的時候,可能沒有直接使用官方提供的IP核來的方便。這個博客就記錄一下,最近使用到的這個DDS IP
    的頭像 發(fā)表于 10-25 16:54 ?71次閱讀
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>DDS</b> <b class='flag-5'>IP</b>核的使用和參數(shù)配置

    如何申請xilinx IP核的license

    在使用FPGA的時候,有些IP核是需要申請后才能使用的,本文介紹如何申請xilinx IP核的license。
    的頭像 發(fā)表于 10-25 16:48 ?58次閱讀
    如何申請<b class='flag-5'>xilinx</b> <b class='flag-5'>IP</b>核的license

    關于IP地址的那些事兒

    網(wǎng)絡現(xiàn)如今已經(jīng)成為我們生活不可或缺的一部分。很多人就開始會開始好奇,“我的IP是什么?”“我一直使用同一個IP嗎?”“我能擁有屬于自己的IP嗎?”今天我們就來討論這些問題。 ? 我的
    的頭像 發(fā)表于 09-06 16:04 ?169次閱讀

    TCP IP協(xié)議屬性設置IP配置

    在現(xiàn)代網(wǎng)絡,TCP/IP協(xié)議是基礎架構的重要組成部分。掌握TCP/IP協(xié)議屬性設置IP配置對于確保網(wǎng)絡的穩(wěn)定性、性能和安全至關重要。本
    的頭像 發(fā)表于 07-23 10:10 ?384次閱讀

    如何在ModelSim添加Xilinx仿真庫

    今天給大俠帶來在FPGA設計應用如何在ModelSim添加Xilinx仿真庫,話不多說,上貨。 注意:ModelSim一定要安裝在不帶空格的目錄下,即不要安裝在“Program
    發(fā)表于 07-03 18:16

    時間同步對DDS實時性能的影響#DDS #TSN #時間敏感網(wǎng)絡

    網(wǎng)絡DDS
    北匯信息POLELINK
    發(fā)布于 :2024年05月30日 10:38:03

    關于MOS管電路工作原理的講解

    MOS管的話題雖說是老生常談,但這份資料幾年前就有人給我分享過,這是網(wǎng)上評價非常高的一篇關于MOS管電路工作原理的講解,從管腳的識別,到極性的分辨,再到常用功能,應用電路等等
    發(fā)表于 04-22 12:26 ?407次閱讀
    <b class='flag-5'>關于</b>MOS管電路工作原理的<b class='flag-5'>講解</b>

    DDS協(xié)議測試實踐及問題分析

    在上一篇文章,我們對DDS協(xié)議測試的策略、方法和工具進行了詳細的介紹。本文旨在進一步探討如何利用這些方法和工具搭建實際的測試環(huán)境,并執(zhí)行測試,進而揭示可能遇到的各類問題。被測協(xié)議棧簡介在本次測試
    的頭像 發(fā)表于 04-12 08:26 ?486次閱讀
    <b class='flag-5'>DDS</b>協(xié)議測試實踐及問題分析

    如何確定DDS輸出信號頻譜的雜散源

    直接數(shù)據(jù)頻率合成器(DDS)因能產(chǎn)生頻率捷變且殘留相位噪聲性能卓越而著稱。另外,多數(shù)用戶都很清楚DDS輸出頻譜存在的雜散噪聲,比如相位截斷雜散以及與相位-幅度轉換過程相關的雜散等。此類雜散是實際
    發(fā)表于 12-15 07:38

    如何在代碼配置DDS編程示例

    DDS編程示例 我們嘗試在代碼配置DDS,以之前Hello World話題通信為例。 運行效果 啟動兩個終端,分別運行發(fā)布者和訂閱者節(jié)點: $ ros2 run learning_qos
    的頭像 發(fā)表于 11-24 18:08 ?865次閱讀
    如何在代碼<b class='flag-5'>中</b>配置<b class='flag-5'>DDS</b>編程示例

    如何在命令行配置DDS

    DDS這么好,那該如何配置和使用呢?我們先帶大家入個門。 案例一:在命令行配置DDS 我們先來試一試在命令行配置DDS的參數(shù)。 啟動第一
    的頭像 發(fā)表于 11-24 18:03 ?840次閱讀
    如何在命令行<b class='flag-5'>中</b>配置<b class='flag-5'>DDS</b>

    DDS在ROS2的應用

    DDS在ROS2的應用 DDS在ROS2系統(tǒng)的位置至關重要,所有上層建設都建立在DDS之上。在這個ROS2的架構圖中,藍色和紅色部分就是
    的頭像 發(fā)表于 11-24 17:54 ?813次閱讀
    <b class='flag-5'>DDS</b>在ROS2<b class='flag-5'>中</b>的應用

    FPGA新IP核學習的正確打開方式

    “,可以閱讀官方的IP說明手冊、IP更新信息、常見問題及解決方式。這里選擇點擊“Product Guide”可以轉到Xilinx的DocNav,打開當前
    發(fā)表于 11-17 11:09

    Xilinx FPGA IP之Block Memory Generator功能概述

    Xilinx Block Memory Generator(BMG)是一個先進的內(nèi)存構造器,它使用Xilinx fpga的嵌入式塊RAM資源生成面積和 性能優(yōu)化的內(nèi)存。
    的頭像 發(fā)表于 11-14 17:49 ?2267次閱讀
    <b class='flag-5'>Xilinx</b> FPGA <b class='flag-5'>IP</b>之Block Memory Generator功能概述

    基于FPGA 程序的DDS IP配置和調(diào)試

    DDS 同 DSP(數(shù)字信號處理)一樣,是一項關鍵的數(shù)字化技術。DDS 是直接數(shù)字式頻率合成器(Direct Digital Synthesizer)的英文縮寫。與傳統(tǒng)的頻率合成器相比,DDS 具有
    發(fā)表于 10-31 11:14 ?628次閱讀
    基于FPGA 程序的<b class='flag-5'>DDS</b> <b class='flag-5'>IP</b>配置和調(diào)試