0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何實現(xiàn)SpinalHDL 環(huán)境搭建

jAUt_chip123com ? 來源:CSDN博客 ? 作者:夏天望月兔 ? 2021-08-24 14:43 ? 次閱讀

據(jù)說SpinalHDL相比chisel更具優(yōu)勢,這讓我有了興趣,今天開始安裝搭建。平常用的linux系統(tǒng)的,但是Intel IDEA安裝在Ubuntu上的時候總是卡的不行,就放棄了,其實SpinalHDL可以不用IDE的運(yùn)行。教學(xué)文檔中說需要安裝的軟件如下

也就是需要安裝java SDK 1.8,Scala建議采用2.11.12版本 https://www.scala-lang.org/download/2.11.12.html,sbt

spinalHDL的例子我們現(xiàn)在下來。查看里面的build.sbt

https://codeload.github.com/SpinalHDL/SpinalTemplateSbt/zip/master

看出scala version =2.11.12

不用IDE的sbt的方式 linux版本是這樣

sudo apt-get install openjdk-8-jdk

sudo apt-get install scala

echo “deb https://dl.bintray.com/sbt/debian /” | sudo tee -a /etc/apt/sources.list.d/sbt.list

sudo apt-key adv --keyserver hkp://keyserver.ubuntu.com:80 --recv 642AC823

sudo apt-get update

sudo apt-get install sbt

git clone https://github.com/SpinalHDL/SpinalTemplateSbt.git SpinalTemplateSbt

cd SpinalTemplateSbt

sbt run # select “mylib.MyTopLevelVhdl” in the menu

ls MyTopLevel.vhd

我們選擇用Windows的基于IDE的開發(fā)。所以建議先下載安裝好IDEA。這個網(wǎng)上隨便看看教程就行了。容易的很。

安裝Scala的插件 使用idea的plugins下載Scala,直接點擊安裝就行

然后Windows上裝好jdk1.8 和Scala。為了sim要安裝msys2 https://www.msys2.org/ 盡量安裝MSYS2到C盤。下載安裝軟件太慢了。我上傳了安裝文件https://download.csdn.net/download/AS7062031/13543346

msys2-x86_64-20150916.exe

沒什么好說的,雙擊,開始安裝。這個裝c盤。msys2下載文件的時候回出現(xiàn)下載速度奇慢說以更新為國內(nèi)源。

修改etcpacman.d目錄下的三個文件,參考https://mirrors.tuna.tsinghua.edu.cn/help/msys2/

mirrorlist.mingw64 文件

7b6e599a-0494-11ec-9bcf-12bb97331649.png

mirrorlist.mingw32 文件

7b9d070e-0494-11ec-9bcf-12bb97331649.png

mirrorlist.msys 文件

7bb7cc6a-0494-11ec-9bcf-12bb97331649.png

設(shè)置窗體修改顏色

目錄下etc 文件 pacman.conf

將其中的 #Color 注釋去掉。

7bc8a1de-0494-11ec-9bcf-12bb97331649.png

安裝verilator

spinalHDL simulation教程如下

7c19eb16-0494-11ec-9bcf-12bb97331649.png

有時候會出錯沒有安裝make

pacman -S make 安裝后就可以了

設(shè)置環(huán)境變量 Path添加

7c3b242a-0494-11ec-9bcf-12bb97331649.png

C:msys64mingw32

變量C_INCLUDE_PATH

7c476b04-0494-11ec-9bcf-12bb97331649.png

變量LIBRARY_PATH

7c5eb052-0494-11ec-9bcf-12bb97331649.png

變量Path中添加如下值

7c5eb052-0494-11ec-9bcf-12bb97331649.png

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 軟件
    +關(guān)注

    關(guān)注

    69

    文章

    4611

    瀏覽量

    86989
  • HDL
    HDL
    +關(guān)注

    關(guān)注

    8

    文章

    324

    瀏覽量

    47283

原文標(biāo)題:SpinalHDL 環(huán)境搭建

文章出處:【微信號:chip123com,微信公眾號:芯片共享平臺】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    SpinalHDL中如何快速地實現(xiàn)總線連接

    教你在SpinalHDL中總線連接時針對總線的部分信號位寬不同時的如何快速地實現(xiàn)總線連接。
    發(fā)表于 11-28 15:48 ?780次閱讀

    spinalhdl轉(zhuǎn)Verilog可讀性 SpinalHDL開發(fā)流程

    SpinalHDL是基于Scala全新的硬件描述語言,解決了不少Verilog等傳統(tǒng)HDL語言的痛點,可以快速的完成某些IP的開發(fā),和完美的融入現(xiàn)有的開發(fā)流程。 誠然SpinalHDL的學(xué)習(xí)路線
    的頭像 發(fā)表于 07-27 09:29 ?1828次閱讀
    <b class='flag-5'>spinalhdl</b>轉(zhuǎn)Verilog可讀性 <b class='flag-5'>SpinalHDL</b>開發(fā)流程

    #2024,立Flag了嘛? #在win平臺搭建SpinalHDL開發(fā)環(huán)境

    難度,安裝完需要配置環(huán)境變量 新建系統(tǒng)變量 變量名:JAVA_HOME 變量值: D:\\\\SpinalHDL\\\\JAVA\\\\jdk-17變量值需要更新為你的安裝路徑 新建系統(tǒng)變量 變量名
    發(fā)表于 01-21 10:52

    SpinalHDL實現(xiàn)優(yōu)雅的添加待跟蹤波形信號

    波形跟蹤信號。在SpinalHDL里,我們可以借助blackBox及scala語言本身實現(xiàn)SpinalHDL環(huán)境實現(xiàn)對ILA的集成。上面
    發(fā)表于 06-22 14:37

    SpinalHDL的測試平臺搭建進(jìn)行說明

    代碼描述了,SpinalHDL提供對仿真的支持,而基于Scala這門語言,在構(gòu)建仿真環(huán)境時擁有者更絲滑的體驗。這里完整的仿真環(huán)境搭建代碼如如下所示:仿真執(zhí)行
    發(fā)表于 07-20 14:38

    SpinalHDL是如何讓仿真跑起來的

    SpinalHDL仿真環(huán)境搭建(SpinalHDL設(shè)計環(huán)境搭建參考《
    發(fā)表于 07-25 15:09

    如何在SpinalHDL里啟動一個仿真

    仿真的原理,盡管我們仿真對象是我們的SpinalHDL代碼生成Verilog文件交給仿真器去執(zhí)行但我們的仿真環(huán)境搭建和case構(gòu)建可以借助scala語言本身豐富的軟件庫來進(jìn)行構(gòu)建,這是我們用
    發(fā)表于 07-26 16:59

    SpinalHDL中仿真信號的驅(qū)動實現(xiàn)

    對于仿真信號的驅(qū)動,在SpinalHDL里通過“#=”方法實現(xiàn):值得注意的是當(dāng)我們的設(shè)計里有一個輸入信號為:val a=in UInt(32 bits)在進(jìn)行仿真信號驅(qū)動時,下面的寫法會報錯的:a#
    發(fā)表于 07-27 14:37

    在win平臺搭建SpinalHDL開發(fā)環(huán)境并跑通第一個spinal project demo

    1、如何從零開始在win平臺搭建SpinalHDL開發(fā)環(huán)境并跑通第一個spinal project demo需安裝軟件綜述首先列出需要安裝的軟件,并逐一對這些軟件的功能和其必要性進(jìn)行說明.需要安裝
    發(fā)表于 08-02 15:37

    SpinalHDL開發(fā)環(huán)境搭建步驟相關(guān)資料分享

    1、SpinalHDL開發(fā)環(huán)境搭建步驟開發(fā)所需軟件SpinalHDL 是 Scala 語言的一個庫,SpinaHDL 環(huán)境
    發(fā)表于 10-17 15:33

    基于Windows系統(tǒng)的SpinalHDL開發(fā)環(huán)境搭建步驟

    開發(fā)所需軟件SpinaHDL環(huán)境搭建所需的軟件安裝包,SpinalHDL是Scala語言的一個庫,開發(fā)Scala需要使用IDEA軟件;JDK17、SDK:Scala2.12.15,SBT1.5.5
    發(fā)表于 10-24 15:40

    如何在Linux環(huán)境實現(xiàn)Python環(huán)境搭建

    本文檔的主要內(nèi)容詳細(xì)介紹的是如何在Linux環(huán)境實現(xiàn)Python環(huán)境搭建。
    發(fā)表于 08-24 12:12 ?14次下載
    如何在Linux<b class='flag-5'>環(huán)境</b>下<b class='flag-5'>實現(xiàn)</b>Python<b class='flag-5'>環(huán)境</b>的<b class='flag-5'>搭建</b>

    如何搭建鴻蒙開發(fā)環(huán)境

    開發(fā)某一個平臺的程序,那么首先要搭建出本地開發(fā)環(huán)境,那么如何搭建鴻蒙開發(fā)環(huán)境呢?
    的頭像 發(fā)表于 02-24 11:25 ?3653次閱讀
    如何<b class='flag-5'>搭建</b>鴻蒙開發(fā)<b class='flag-5'>環(huán)境</b>

    SpinalHDL中的對應(yīng)關(guān)系及聲明形式

    針對SpinalHDL中的兩大類型Reg、Wire,來梳理下在SpinalHDL中的對應(yīng)關(guān)系及聲明形式。
    的頭像 發(fā)表于 07-03 11:02 ?1475次閱讀

    SpinalHDL里如何實現(xiàn)Sobel邊緣檢測

    書接上文,趁著今天休假,采用SpinalHDL做一個小的demo,看看在SpinalHDL里如何優(yōu)雅的實現(xiàn)Sobel邊緣檢測。
    的頭像 發(fā)表于 08-26 08:59 ?1218次閱讀