0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

SpinalHDL里如何實現(xiàn)Sobel邊緣檢測

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2022-08-26 08:59 ? 次閱讀

書接上文,趁著今天休假,采用SpinalHDL做一個小的demo,看看在SpinalHDL里如何優(yōu)雅的實現(xiàn)Sobel邊緣檢測。

Sobel邊緣檢測

Sobel邊緣檢測原理教材網(wǎng)上一大堆,核心為卷積處理。

Sobel卷積因子為:

38aa8124-24d7-11ed-ba43-dac502259ad0.png

該算子包含兩組3x3的矩陣,分別為橫向及縱向,將之與圖像作平面卷積,即可分別得出橫向及縱向的亮度差分近似值。如果以A代表原始圖像,Gx及Gy分別代表經(jīng)橫向及縱向邊緣檢測的圖像灰度值,其公式如下:

38bd3ef4-24d7-11ed-ba43-dac502259ad0.png

圖像的每一個像素的橫向及縱向灰度值通過以下公式結(jié)合,來計算該點灰度的大小:

38cce78c-24d7-11ed-ba43-dac502259ad0.png

通常,為了提高效率使用不開平方的近似值:

38de788a-24d7-11ed-ba43-dac502259ad0.png

最后,當(dāng)計算出來的值大于某一閾值時即認為為邊緣像素點。

歸結(jié)起來,Sobel邊緣檢測分為三大步:卷積計算、灰度計算、閾值比較處理。結(jié)合上文實現(xiàn)的bufWindow,在SpinalHDL里實現(xiàn)Sobel邊緣檢測也就幾行代碼的事情(如果是寫Verilog我還是拒絕的)。

卷積計算

通過bufWindow,我們可以得到一個3x3的矩陣窗口,拿到結(jié)果第一步即是計算卷積,由于卷積因子是帶符號的,而在做卷積時又需要考慮位寬擴展的事情,在寫Verilog時還是需要小心的設(shè)計下的,而在SpinalHDL里,兩行代碼:

val Gx=(windowbuf.io.dataOut.payload(0)(2).expand.asSInt-^windowbuf.io.dataOut.payload(0)(0).expand.asSInt)+|      ((windowbuf.io.dataOut.payload(1)(2).expand.asSInt-^windowbuf.io.dataOut.payload(1)(0).expand.asSInt)<<1)+|      (windowbuf.io.dataOut.payload(2)(2).expand.asSInt-^windowbuf.io.dataOut.payload(2)(0).expand.asSInt)val Gy=(windowbuf.io.dataOut.payload(0)(0).expand.asSInt-^windowbuf.io.dataOut.payload(2)(0).expand.asSInt)+|       ((windowbuf.io.dataOut.payload(0)(1).expand.asSInt-^windowbuf.io.dataOut.payload(2)(1).expand.asSInt)<<1)+|       (windowbuf.io.dataOut.payload(0)(2).expand.asSInt-^windowbuf.io.dataOut.payload(2)(2).expand.asSInt)

首先將bufWindow輸出的窗口矩陣值擴展一位位寬轉(zhuǎn)換為有符號值,然后進行計算卷積。計算卷積運用了兩個運算符“-^”,"+|"來處理加減運算時的位寬處理(可參照SpinalHDL手冊或本公眾號的《SpinalHDL—數(shù)據(jù)類型:UInt/SIn》)。最終得到Gx、Gy。

灰度計算

灰度計算這里采用近似值,通過取絕對值的方式進行實現(xiàn),在SpinalHDL里也就一行代碼的事情:

sobelResult.payload:= (sobelConv.payload(0).abs+| sobelConv.payload(1).abs).fixTo(cfg.dataWidth-1 downto 0,RoundType.ROUNDUP)
由于在卷積計算時有擴展位寬,這里計算最后調(diào)用fixTo進行高位飽和處理。最終得到位寬與輸入保持一致(想想你在Veirlog里實現(xiàn)這一步要做多少事情,少年)。

閾值比較

閾值比較就很簡單了,比較兩個值大小取兩個極端:

when(sobelResult.payload>io.thresholdValue){      io.dataOut.payload:=(default->true)    }otherwise{      io.dataOut.payload:=(default->false)    }
最終實現(xiàn)Sobel邊緣檢測代碼如下:

case class sobelProc(cfg:lineBufferCfg) extends Component{  require(cfg.lineNum==3)  val io=new Bundle{    val thresholdValue =in UInt(cfg.dataWidth bits)    val dataIn=slave Flow(UInt(cfg.dataWidth bits))    val dataOut=master Flow(UInt(cfg.dataWidth bits))    dataOut.valid.setAsReg().init(False)    dataOut.payload.setAsReg().init(0)  }  noIoPrefix()  val sobel=new Area{    val windowbuf=bufWindow(cfg)    val sobelConv=Reg(Flow(Vec(SInt(),2)))    val sobelResult=Reg(Flow(UInt(cfg.dataWidth bits)))    sobelConv.valid.init(False)    sobelResult.valid.init(False)    io.dataIn<>windowbuf.io.dataIn    val Gx=(windowbuf.io.dataOut.payload(0)(2).expand.asSInt-^windowbuf.io.dataOut.payload(0)(0).expand.asSInt)+|      ((windowbuf.io.dataOut.payload(1)(2).expand.asSInt-^windowbuf.io.dataOut.payload(1)(0).expand.asSInt)<<1)+|      (windowbuf.io.dataOut.payload(2)(2).expand.asSInt-^windowbuf.io.dataOut.payload(2)(0).expand.asSInt)    val Gy=(windowbuf.io.dataOut.payload(0)(0).expand.asSInt-^windowbuf.io.dataOut.payload(2)(0).expand.asSInt)+|           ((windowbuf.io.dataOut.payload(0)(1).expand.asSInt-^windowbuf.io.dataOut.payload(2)(1).expand.asSInt)<<1)+|           (windowbuf.io.dataOut.payload(0)(2).expand.asSInt-^windowbuf.io.dataOut.payload(2)(2).expand.asSInt)    sobelConv.valid:=windowbuf.io.dataOut.valid    sobelConv.payload(0):=Gx    sobelConv.payload(1):=Gy    sobelResult.valid:=sobelConv.valid    sobelResult.payload:= (sobelConv.payload(0).abs+| sobelConv.payload(1).abs).fixTo(cfg.dataWidth-1 downto 0,RoundType.ROUNDUP)    io.dataOut.valid:=sobelResult.valid    when(sobelResult.payload>io.thresholdValue){      io.dataOut.payload:=(default->true)    }otherwise{      io.dataOut.payload:=(default->false)}  }}
區(qū)區(qū)不到四十行代碼,簡潔而優(yōu)雅,基本上就是描述算法,出錯概率應(yīng)該很小吧!

仿真

做圖像處理的小伙伴想想在做仿真驗證時需要怎么搞,matlab生成灰度圖像二進制數(shù)據(jù)放在文件里,然后仿真時再導(dǎo)入,仿真完成后將結(jié)果保存到文件里,最后再在matlab里做對比。 太麻煩。SpinalHDL提供了仿真支持,而SpinalHDL是基于Scala的,可以完美實現(xiàn)整個仿真驗證流程:從圖片直接獲取數(shù)據(jù),然后進行仿真驗證,仿真結(jié)果直接再次生成圖片。

審核編輯:彭靜
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1335

    瀏覽量

    109847
  • 代碼
    +關(guān)注

    關(guān)注

    30

    文章

    4694

    瀏覽量

    68078
  • sobel
    +關(guān)注

    關(guān)注

    0

    文章

    12

    瀏覽量

    7883

原文標題:FPGA圖像處理——老戲新說

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    基于FPGA的Sobel邊緣檢測工作原理

    Sobel 邊緣檢測的工作原理是檢測圖像在水平和垂直方向上的梯度變化。為此,將兩個卷積濾波器應(yīng)用于原始圖像,然后組合這些卷積濾波器的結(jié)果以確定梯度的大小。
    發(fā)表于 12-14 11:34 ?1366次閱讀

    基于FPGA的實時邊緣檢測系統(tǒng)設(shè)計,Sobel圖像邊緣檢測,F(xiàn)PGA圖像處理

    60 為閾值得到圖8(a)和(b)。 通過對比可以看出,使用 FPGA 進行Sobel邊緣檢測能夠實現(xiàn)與 MATLAB 相近的檢測效果,驗
    發(fā)表于 05-24 07:45

    基于 DSP5509 進行數(shù)字圖像處理中 Sobel 算子邊緣檢測的硬件連接電路圖

    以下是基于 DSP5509 進行數(shù)字圖像處理中 Sobel 算子邊緣檢測的硬件設(shè)計方案: 一、總體架構(gòu) 圖像采集:使用合適的圖像傳感器,如 CMOS 傳感器,通過相應(yīng)的接口(如 SPI、I2C 等
    發(fā)表于 09-25 15:25

    關(guān)于圖像邊緣檢測器的VHDL的實現(xiàn)該怎么下手,求大神指教!

    準備要做一個以VHDL實現(xiàn)圖像邊緣檢測器,用的是sobel算子,不怎么會寫VHDL的代碼,請各路大神指教,感激不盡!
    發(fā)表于 12-02 18:58

    基于FPGA的Sobel邊緣檢測實現(xiàn)

    我們在此基礎(chǔ)上修改,從而實現(xiàn),基于FPGA的動態(tài)圖片的Sobel邊緣檢測、中值濾波、Canny算子邊緣
    發(fā)表于 08-29 15:41

    基于FPGA的邊緣檢測Sobel算法

    轉(zhuǎn)帖摘要: 針對嵌入式軟件無法滿足數(shù)字圖像實時處理速度問題,提出用硬件加速器的思想,通過FPGA實現(xiàn)Sobel邊緣檢測算法。通過乒乓操作、并行處理數(shù)據(jù)和流水線設(shè)計,大大提高算法的處理速
    發(fā)表于 11-29 08:57

    Labview圖像處理——邊緣檢測

    邊緣的灰度值過度較為明顯,梯度算子可以得到較好的邊緣檢測結(jié)果。邊緣提取其實也是一種濾波,不同的算子有不同的提取效果。比較常用的方法有三種,Sobel
    發(fā)表于 12-01 12:16

    邊緣檢測的幾種微分算子

    一、邊緣檢測邊緣檢測的幾種微分算子:一階微分算子:Roberts、Sobel、Prewitt二階微分算子:Laplacian、Log/Mar
    發(fā)表于 07-26 08:29

    【米爾MYS-8MMX開發(fā)板免費試用】-VI.Sobel邊緣檢測(ZMJ)

    【米爾MYS-8MMX開發(fā)板】-VI.Sobel邊緣檢測(ZMJ)1.功能簡介本案例使用 GStreamer API 通過 ARM Cotrex-A53 從 USB 攝像頭獲取 MJPEG 格式視頻
    發(fā)表于 09-10 15:41

    SpinalHDL實現(xiàn)優(yōu)雅的添加待跟蹤波形信號

    SpinalHDL,我們一樣可以實現(xiàn)優(yōu)雅的添加待跟蹤波形信號。姿勢一在Verilog代碼,我們想要添加波形跟蹤信號時往往在待跟蹤信號上添加廠商約束原語,如下所示:so easy!貌
    發(fā)表于 06-22 14:37

    迅為iTOP-RK3568開發(fā)板Sobel 算子邊緣檢測

    ,是最為常用的邊緣檢測算子,但是得到的邊緣較粗,可能出現(xiàn)偽邊緣。 cv2.Sobel 函數(shù)功能: 使用
    發(fā)表于 09-18 10:27

    sobel_FPGA l邊緣檢測

    sobel_FPGA l邊緣檢測.源代碼。
    發(fā)表于 05-03 16:42 ?9次下載

    基于CORDIC的高速Sobel算法實現(xiàn)

    為提高圖像邊緣檢測的處理速度,提出一種基于CORDIC的高速Sobel算法實現(xiàn)。
    的頭像 發(fā)表于 10-05 09:54 ?3502次閱讀
    基于CORDIC的高速<b class='flag-5'>Sobel</b>算法<b class='flag-5'>實現(xiàn)</b>

    FPGA圖像處理的Sobel邊緣檢測

    Sobel邊緣檢測 Sobel邊緣檢測原理教材網(wǎng)上一大堆,核心為卷積處理。
    的頭像 發(fā)表于 03-22 09:45 ?2887次閱讀
    FPGA圖像處理的<b class='flag-5'>Sobel</b><b class='flag-5'>邊緣</b><b class='flag-5'>檢測</b>

    Sobel算子原理介紹與實現(xiàn)方法

    索貝爾算子(Sobel operator)主要用作邊緣檢測,在技術(shù)上,它是一離散性差分算子,用來運算圖像亮度函數(shù)的灰度之近似值。在圖像的任何一點使用此算子,將會產(chǎn)生對應(yīng)的灰度矢量或是其法矢量S
    的頭像 發(fā)表于 07-21 17:27 ?1.3w次閱讀