0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

淺析Xilinx系列FPGA Select IO簡(jiǎn)介

YCqV_FPGA_EETre ? 來(lái)源:Ingdan FPGA ? 作者:Jon Zhu ? 2021-10-28 16:46 ? 次閱讀

在SelectIO簡(jiǎn)介連載一中介紹了其架構(gòu),本章會(huì)繼續(xù)介紹如何使用其gearbox功能來(lái)實(shí)現(xiàn)不同的比率的串并轉(zhuǎn)換功能。

7 Series FPGA中LVDS使用了ISERDESE2,SDR Rate可設(shè)為2,3,4,5,6,7,8。DDR Rate可設(shè)為4,6,8,10,14。

4960ff8a-37a6-11ec-82a8-dac502259ad0.png

從UG471的Bitslip部分可以看出在SDR和DDR移位的位數(shù)不一樣。在SDR模式下,一個(gè)Bitslip脈沖使數(shù)據(jù)左移一位;而在DDR模式下,一個(gè)Bitslip脈沖使數(shù)據(jù)右移一位或左移三位。

49d2be18-37a6-11ec-82a8-dac502259ad0.png

4a2a7e50-37a6-11ec-82a8-dac502259ad0.png

所以在某些傳輸過(guò)程中,可以先傳預(yù)設(shè)值,等待接收方調(diào)整Idelay和Bitslip解出正確的預(yù)設(shè)值后再傳輸有效數(shù)據(jù)。

對(duì)習(xí)慣使用7 Series FPGA用戶在接觸XilinxUltraScale和UltraScale +器件 SelectIO時(shí)感覺(jué)不習(xí)慣,原因XilinxUltraScale和UltraScale +是ISERDESE3和OSERDESE3組件,使用Select IO需要在IP catlog中選擇high_speed_selectio IP Configuration interface 在Serialization Factor選項(xiàng)中只有8或者4可以選擇。

4ae86bc2-37a6-11ec-82a8-dac502259ad0.png

Pin Selection選擇時(shí)會(huì)發(fā)現(xiàn)IO選擇會(huì)有一定限制,在Sensor的應(yīng)用中HP bank理想的硬件設(shè)計(jì)是在同一個(gè)bank中連續(xù)放置設(shè)備I/O,部分Sensor輸出的Serialization Factor需要7:1,6:1,5:1,不能直接使用ISERDES。

對(duì)這類應(yīng)用Xilinx 提供了XAPP1315 7:1的參考設(shè)計(jì),那么對(duì)6:1,5:1這種應(yīng)用用戶需要在參考設(shè)計(jì)上改哪里,怎樣去改?下面我們提供修改方式供參考。

1、從Data Reception看需要把ISERDESE3 輸出的8位數(shù)據(jù)(Serialization Factor=8)通過(guò)gearbox模塊轉(zhuǎn)成7,6,5位的數(shù)據(jù)。其中7位的數(shù)據(jù)XAPP1315已經(jīng)做過(guò)了,這里我們用6:1的數(shù)據(jù)為例, 需要從ISERDES3實(shí)現(xiàn)Read8 bit 數(shù)據(jù)通過(guò)gearbox 轉(zhuǎn)換為6bit數(shù)據(jù)。

4b739f8a-37a6-11ec-82a8-dac502259ad0.png

2、對(duì)于Read 8 to 6 gearbox設(shè)計(jì)方式:

4bee50ea-37a6-11ec-82a8-dac502259ad0.png

從數(shù)據(jù)排列可以分析到8 bit數(shù)據(jù)在每次讀取6 bit數(shù)據(jù),經(jīng)過(guò)4次后開(kāi)始循環(huán),我們通過(guò)狀態(tài)機(jī)設(shè)計(jì)gearbox的代碼需只需要實(shí)現(xiàn);

4c7e41f0-37a6-11ec-82a8-dac502259ad0.png

// Read 8 to 6 gearbox

//

always @ (posedge px_clk)

begin

case (px_rd_seq )

3‘h0 : begin

px_data 《=px_rd_curr[5:0];

end

3’h1 : begin

px_data 《={px_rd_curr[3:0], px_rd_last[7:6]};

end

3‘h2 : begin

px_data 《={px_rd_curr[1:0], px_rd_last[7:4]};

end

3’h3 : begin

px_data 《={px_rd_last[7:2]};

end

endcase

end

3、Data Transmission,OSERDES3使用4 bit 輸入,參考例程是把ISERDES的數(shù)據(jù)接到OSERDES,這里我們?cè)趨⒖祭躺先稳皇褂肐SERDE 到OSERDES的數(shù)據(jù)傳送方式驗(yàn)證。分析知道需要一個(gè)6 bit 轉(zhuǎn)4 bit數(shù)據(jù)的 Gearbox.

4cf6339a-37a6-11ec-82a8-dac502259ad0.png

4、Gearbox設(shè)計(jì)思路是把6 bit的數(shù)據(jù)按4bit大小去讀取直到數(shù)據(jù)開(kāi)始循環(huán)。

4d6a22b4-37a6-11ec-82a8-dac502259ad0.png

通過(guò)表格客戶分析出設(shè)計(jì)代碼做3次循環(huán)可以滿足要求

4de1f6c2-37a6-11ec-82a8-dac502259ad0.png

Read state machine and gear box

//

always @ (posedge tx_clkdiv4)

begin

if(!tx_enable) begin

rd_addr 《= 4‘b0;

rd_state 《= 3’h0;

end else begin

case (rd_state )

3‘h0 : begin

rd_addr 《= rd_addr + 1’b1;

tx_data 《= rd_curr[3:0];

rd_state《= rd_state + 1‘b1;

end

3’h1 : begin

rd_addr 《= rd_addr;

tx_data 《= {rd_curr[1:0], rd_last[5:4]};

rd_state《= rd_state + 1‘b1;

end

3’h2 : begin

rd_addr 《= rd_addr + 1‘b1;

tx_data 《= rd_last[5:2];

rd_state《= 3’h0;

end

endcase

end

end

5、到這來(lái)我們已經(jīng)完成gearbox 模塊的設(shè)計(jì),實(shí)現(xiàn)LVDS Source Synchronous 6:1。在Serialization and Deserialization部分還需要修改輸入的數(shù)據(jù)

//

// Transmit Data Generation

//

always @ (posedge tx_px_clk)

begin

if(tx_px_reset) begin

tx_px_data[ 5:0 ] 《= 6‘h01;

tx_px_data[11:6 ] 《= 6’h02;

tx_px_data[17:12] 《= 6‘h03;

tx_px_data[23:18] 《= 6’h04;

tx_px_data[29:24] 《= 6‘h05;

end

else begin

tx_px_data[ 5:0 ]《= tx_px_data[ 5:0 ]+1’b1;

tx_px_data[11:6 ]《= tx_px_data[11:6 ]+1‘b1;

tx_px_data[17:12]《= tx_px_data[17:12]+1’b1;

tx_px_data[23:18]《= tx_px_data[23:18]+1‘b1;

tx_px_data[29:24]《= tx_px_data[29:24]+1’b1;

end

end

// Receiver 1 - Data checking per pixelclock

//

always @(posedge rx1_px_clk or negedgerx1_px_ready)

begin

rx1_px_last 《= rx1_px_data;

if(!rx1_px_ready) begin

rx1_match 《= 1‘b0;

end

else if ((rx1_px_data[ 5:0 ]==rx1_px_last[ 5:0 ]+1’b1)&&

(rx1_px_data[11:6 ]==rx1_px_last[11:6 ]+1‘b1)&&

(rx1_px_data[17:12]==rx1_px_last[17:12]+1’b1)&&

(rx1_px_data[23:18]==rx1_px_last[23:18]+1‘b1)&&

(rx1_px_data[29:24]==rx1_px_last[29:24]+1’b1)) begin

rx1_match 《= 1‘b1;

end

else begin

rx1_match 《= 1’b0;

end

end

6、對(duì)用戶的系統(tǒng)可能需要的lane數(shù)量為8,在對(duì)應(yīng)的數(shù)據(jù)部分需要做對(duì)應(yīng)的修改

4e617050-37a6-11ec-82a8-dac502259ad0.png

Receiver使用ISERDESE3在1:8 DDR模式與8:6分布式RAM基于齒輪箱反序列化和對(duì)齊輸入數(shù)據(jù)流。這個(gè)實(shí)現(xiàn)需要三個(gè)時(shí)鐘域,1/2速率采樣時(shí)鐘(rx_clkdiv2), 1/8速率反序列化數(shù)據(jù)時(shí)鐘(rx_clkdiv8),和1/6像素時(shí)鐘(px_clk),它等于Receiversource clock。

Receiver source clock在MMCM或PLL中乘以6或12以滿足VCO頻率范圍,然后除以2生成1/2速率采樣時(shí)鐘(rx_clkdiv2),除以6生成織物像素時(shí)鐘(px_clk)。

//

// Instantiate PLL or MMCM

//

generate

if (USE_PLL == “FALSE”)begin // use an MMCM

MMCME3_BASE # (

.CLKIN1_PERIOD (CLKIN_PERIOD),

.BANDWIDTH (“OPTIMIZED”),

.CLKFBOUT_MULT_F (6*VCO_MULTIPLIER),

.CLKFBOUT_PHASE (0.0),

.CLKOUT0_DIVIDE_F (2*VCO_MULTIPLIER),

.CLKOUT0_DUTY_CYCLE (0.5),

.CLKOUT0_PHASE (0.0),

.DIVCLK_DIVIDE (1),

.REF_JITTER1 (0.100)

tx_mmcm (

.CLKFBOUT (px_pllmmcm),

.CLKFBOUTB (),

.CLKOUT0 (tx_pllmmcm_div2),

.CLKOUT0B (),

.CLKOUT1 (),

.CLKOUT1B (),

.CLKOUT2 (),

.CLKOUT2B (),

.CLKOUT3 (),

.CLKOUT3B (),

.CLKOUT4 (),

.CLKOUT5 (),

.CLKOUT6 (),

.LOCKED (cmt_locked),

.CLKFBIN (px_clk),

.CLKIN1 (clkin),

.PWRDWN (1‘b0),

.RST (reset)

);

end else begin // Use aPLL

PLLE3_BASE # (

.CLKIN_PERIOD (CLKIN_PERIOD),

.CLKFBOUT_MULT (6*VCO_MULTIPLIER),

.CLKFBOUT_PHASE (0.0),

.CLKOUT0_DIVIDE (2*VCO_MULTIPLIER),

.CLKOUT0_DUTY_CYCLE (0.5),

.REF_JITTER (0.100),

.DIVCLK_DIVIDE (1)

tx_pll (

.CLKFBOUT (px_pllmmcm),

.CLKOUT0 (tx_pllmmcm_div2),

.CLKOUT0B (),

.CLKOUT1 (),

.CLKOUT1B (),

.CLKOUTPHY (),

.LOCKED (cmt_locked),

.CLKFBIN (px_clk),

.CLKIN (clkin),

.CLKOUTPHYEN (1’b0),

.PWRDWN (1‘b0),

.RST (reset)

);

end

7、代碼中對(duì)應(yīng)的源語(yǔ)需要升級(jí)到ULTRASCALE_PLUS對(duì)應(yīng)的部分

類似的地方:localparam DELAY_VALUE = ((CLKIN_PERIOD*1000)/6 《= 1100.0) ?(CLKIN_PERIOD*1000)/6 : 1100.0;

ULTRASCALE_PLUS maximumvalue for 1100.0

IDELAYE3 SIM_DEVICE(“ULTRASCALE_PLUS”), // Set the device version for simulationfunctionality (ULTRASCALE// ULTRASCALE_PLUS,recommended to re-call IDELAYE3 in the ULTRASCALE_PLUSdirectory

8、所以以模塊修完之后通過(guò)軟件仿真驗(yàn)證修改的數(shù)據(jù)跟XAPP1315的數(shù)據(jù)對(duì)比,設(shè)計(jì)中采用parameter DATA_FORMAT = “PER_CLOCK”,數(shù)據(jù)格式會(huì)安裝PER_CLOCK方式排列LVDS Source Synchronous 6:1 Serializationand Deserialization Using Clock Multiplication。

4ecad428-37a6-11ec-82a8-dac502259ad0.png

Xapp1315 LVDS Source Synchronous 7:1Serialization and Deserialization Using Clock Multiplication仿真數(shù)據(jù):

4fe25692-37a6-11ec-82a8-dac502259ad0.png

綜上所述,通過(guò)數(shù)據(jù)比對(duì)分析數(shù)據(jù)沒(méi)有問(wèn)題,從而實(shí)現(xiàn)此功能。

編輯:jq

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600671
  • 數(shù)據(jù)
    +關(guān)注

    關(guān)注

    8

    文章

    6767

    瀏覽量

    88637
  • Xilinx
    +關(guān)注

    關(guān)注

    71

    文章

    2152

    瀏覽量

    120728
  • 時(shí)鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1703

    瀏覽量

    131195
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    一文了解FPGA比特流的內(nèi)部結(jié)構(gòu)

    比特流是一個(gè)常用詞匯,用于描述包含FPGA完整內(nèi)部配置狀態(tài)的文件,包括布線、邏輯資源和IO設(shè)置。大多數(shù)現(xiàn)代FPGA都是基于SRAM的,包括Xilinx Spartan和Virtex
    的頭像 發(fā)表于 07-16 18:02 ?7279次閱讀
    一文了解<b class='flag-5'>FPGA</b>比特流的內(nèi)部結(jié)構(gòu)

    FPGA | Xilinx ISE14.7 LVDS應(yīng)用

    今天給大俠帶來(lái) Xilinx ISE14.7 LVDS應(yīng)用,話不多說(shuō),上貨。 最近項(xiàng)目需要用到差分信號(hào)傳輸,于是看了一下FPGA上差分信號(hào)的使用。Xilinx FPGA中,主要通過(guò)
    發(fā)表于 06-13 16:28

    Xilinx 7系列FPGA功能特性介紹

    Xilinx7系列FPGA由四個(gè)FPGA系列組成,可滿足一系列系統(tǒng)需求,從低成本、小尺寸、成本敏
    發(fā)表于 04-22 10:49 ?4571次閱讀
    <b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>功能特性介紹

    Xilinx fpga芯片系列有哪些

    Xilinx FPGA芯片擁有多個(gè)系列和型號(hào),以滿足不同應(yīng)用領(lǐng)域的需求。以下是一些主要的Xilinx FPGA芯片
    的頭像 發(fā)表于 03-14 16:24 ?2819次閱讀

    AMD Xilinx 7系列FPGA的Multiboot多bit配置

    Multiboot是一種在AMD Xilinx 7系列FPGA上實(shí)現(xiàn)雙鏡像(或多鏡像)切換的方案。它允許在FPGA中加載兩個(gè)不同的配置鏡像,并在需要時(shí)切換。
    的頭像 發(fā)表于 02-25 10:54 ?1127次閱讀
    AMD <b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>的Multiboot多bit配置

    FPGA設(shè)計(jì)高級(jí)技巧 Xilinx

    FPGA設(shè)計(jì)高級(jí)技巧 Xilinx
    發(fā)表于 01-08 22:15

    簡(jiǎn)述Xilinx 7系列FPGA芯片相關(guān)知識(shí)

    Xilinx 7系列 芯片 應(yīng)用非常廣泛,具有成本低、性能強(qiáng)悍、成熟穩(wěn)定的特點(diǎn),目前Xilinx( AMD )已延長(zhǎng)該系列芯片的生命周期至少到2035年。 本文主要介紹
    的頭像 發(fā)表于 11-28 10:20 ?1023次閱讀
    簡(jiǎn)述<b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>芯片相關(guān)知識(shí)

    簡(jiǎn)述Xilinx 7系列FPGA芯片相關(guān)知識(shí)

    Xilinx 7系列芯片應(yīng)用非常廣泛,具有成本低、性能強(qiáng)悍、成熟穩(wěn)定的特點(diǎn),目前Xilinx(AMD)已延長(zhǎng)該系列芯片的生命周期至少到2035年。
    發(fā)表于 11-27 09:26 ?763次閱讀
    簡(jiǎn)述<b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>芯片相關(guān)知識(shí)

    教你如何區(qū)別select、poll、epoll?

    IO多路復(fù)用相對(duì)于阻塞式和非阻塞式的好處就是它可以監(jiān)聽(tīng)多個(gè) socket ,并且不會(huì)消耗過(guò)多資源。當(dāng)用戶進(jìn)程調(diào)用 select 時(shí),它會(huì)監(jiān)聽(tīng)其中所有 socket 直到有一個(gè)或多個(gè) socket 數(shù)據(jù)已經(jīng)準(zhǔn)備好,否則就一直處于阻塞狀態(tài)。
    的頭像 發(fā)表于 11-21 15:25 ?2324次閱讀
    教你如何區(qū)別<b class='flag-5'>select</b>、poll、epoll?

    select語(yǔ)句的基本語(yǔ)法

    SELECT語(yǔ)句是SQL(Structured Query Language,結(jié)構(gòu)化查詢語(yǔ)言)中的一種查詢語(yǔ)句,用于從數(shù)據(jù)庫(kù)中檢索數(shù)據(jù)。它是數(shù)據(jù)庫(kù)操作中最常用和基本的語(yǔ)句之一。在本文中,我將為您詳盡
    的頭像 發(fā)表于 11-17 16:23 ?1694次閱讀

    SELECT語(yǔ)句的基本格式

    SELECT語(yǔ)句是SQL中最基本和最重要的語(yǔ)句之一。它被用于從數(shù)據(jù)庫(kù)中檢索數(shù)據(jù)。在本文中,我們將詳細(xì)介紹SELECT語(yǔ)句的基本格式和各個(gè)組成部分。 SELECT語(yǔ)句的基本格式如下: SELEC
    的頭像 發(fā)表于 11-17 15:10 ?2480次閱讀

    Xilinx FPGA IP之Block Memory Generator功能概述

    Xilinx Block Memory Generator(BMG)是一個(gè)先進(jìn)的內(nèi)存構(gòu)造器,它使用Xilinx fpga中的嵌入式塊RAM資源生成面積和 性能優(yōu)化的內(nèi)存。
    的頭像 發(fā)表于 11-14 17:49 ?2267次閱讀
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b> IP之Block Memory Generator功能概述

    epoll和select使用區(qū)別

    編譯內(nèi)核來(lái)擴(kuò)大這個(gè)數(shù)目,但這似乎并不治本。 一、IO多路復(fù)用的select IO多路復(fù)用相對(duì)于阻塞式和非阻塞式的好處就是它可以監(jiān)聽(tīng)多個(gè) socket ,并且
    的頭像 發(fā)表于 11-09 14:14 ?935次閱讀
    epoll和<b class='flag-5'>select</b>使用區(qū)別

    羅徹斯特電子攜手AMD/Xilinx可持續(xù)供應(yīng)Xilinx傳統(tǒng)FPGA產(chǎn)品

    羅徹斯特電子攜手AMD/Xilinx,為Xilinx傳統(tǒng)FPGA和相關(guān)配置PROM產(chǎn)品提供供貨支持。
    的頭像 發(fā)表于 11-07 09:04 ?471次閱讀

    FPGAIO

    可以兼容多種不同的電壓標(biāo)準(zhǔn),也有豐富的IO。 其次,FPGA的功能命名規(guī)則。功能命名規(guī)則每個(gè)廠家都會(huì)自己的一套規(guī)則,但都大同小異,我們重點(diǎn)來(lái)講述一下xilinx的命名(xilinx
    發(fā)表于 11-03 11:08