0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

淺析隔離信號和電源的4個常見問題

電子設計 ? 來源:德州儀器 ? 作者:德州儀器 ? 2021-12-09 10:07 ? 次閱讀

高壓電路設計需要通過隔離來保護操作人員、與低壓電路進行通信并消除系統(tǒng)內(nèi)不必要的噪聲。數(shù)字隔離器提供了一種簡單可靠的方法,可以在工業(yè)和汽車應用中實現(xiàn)高壓隔離通信。

要保持信號通過隔離柵的完整性,需要隔離電路初級側(cè)和次級側(cè)之間的所有耦合路徑,包括電源。雖然數(shù)字隔離器的次級側(cè)通常需要很少的電源,但系統(tǒng)設計者常常會增加額外的電源余量,以便為多個設備供電

在本文中,我將分享在隔離信號和電源設計時經(jīng)常出現(xiàn)的問題,并簡要概述可用的分立式和集成式器件。

問題1:為什么要隔離數(shù)字隔離器的電源?

數(shù)字隔離器的內(nèi)部架構(gòu)由兩個獨立的數(shù)字集成電路 (IC) 組成,位于分離式引線框上,它們之間有一個高壓隔離電介質(zhì)屏障,如圖1所示。每個IC都需要為設備的初級側(cè)和次級側(cè)提供單獨的電源和接地,它們之間沒有物理連接。此要求與器件支持基本隔離還是增強型隔離無關(guān),適用于數(shù)字隔離器以及具有集成接口的隔離器件。

問題2:數(shù)字隔離器的電源要求是什么?

在為數(shù)字隔離器解決方案選擇電源拓撲之前,重要的是要確定電源的基本要求,包括輸入電壓范圍、輸出電壓、次級側(cè)所需的輸出功率和輸出軌的數(shù)量。與非隔離電源解決方案相比,隔離電源解決方案的其他考慮因素包括系統(tǒng)絕緣額定值、所需的爬電距離和間隙距離,以及靜電放電和系統(tǒng)的發(fā)射性能等電磁兼容性要求。行業(yè)終端設備標準對上述多種要求進行了規(guī)定。要了解有關(guān)隔離系統(tǒng)的絕緣額定值以及爬電距離和間隙的更多信息,請觀看TI高精度實驗室隔離視頻系列。

數(shù)字隔離器的輸入和輸出信號電壓通常取決于它們所施加的電源電壓,并且通常與次級側(cè)的電源電壓(VCC)有直接關(guān)系。在最終確定電源輸入和輸出要求之前,我建議仔細查看數(shù)字隔離器數(shù)據(jù)表中的電源要求。針對接口元件的邏輯電平來優(yōu)化數(shù)字隔離器也是一個好主意。例如,為與微控制器連接的數(shù)字隔離器提供5V電壓時,選擇在次級側(cè)也使用5V或接近5V邏輯電平的信號。

問題3:次級側(cè)電源可以用作隔離電源嗎?

在某些情況下,只要滿足隔離器邏輯電平的最低要求,系統(tǒng)中的兩個獨立電源軌即可用作初級側(cè)和次級側(cè)電源。其中包括與輸入和輸出信號電平相匹配的電源電壓電平,每個電平均提供單獨的接地。雖然可以使用現(xiàn)有的次級側(cè)電源,但噪聲耦合和電源調(diào)節(jié)通常會成為一項問題,設計者通常選擇設計已針對邏輯電平和系統(tǒng)噪聲性能進行了優(yōu)化的隔離電源。

問題4:隔離電源有哪些解決方案?

為數(shù)字隔離電路設計隔離電源時,可以使用的方案有許多。數(shù)字隔離器的電源解決方案包括反激式、H橋電感器-電感器-電容器、推挽式和集成式隔離數(shù)據(jù)和電源解決方案。

帶電源的ISOW7741數(shù)字隔離器、帶電源的 ISOW1412 隔離式RS-485收發(fā)器或帶電源的ISOW1044控制器局域網(wǎng)收發(fā)器等集成的隔離數(shù)據(jù)和電源解決方案都具有集成式直流/直流轉(zhuǎn)換器。這些器件旨在滿足國際無線電干擾特別委員會(CIPSR) 32 B類限制,而且其尺寸明顯小于分立式設計備選方案。為了在盡可能小的占用空間中實現(xiàn)高性能設計,無需在電路板上安裝變壓器、減小電路板尺寸和簡化認證等優(yōu)勢通常是不容忽視的權(quán)衡因素。

因此,雖然分立式解決方案在某些情況下可以提高效率和降低輻射發(fā)射,但最終節(jié)省空間和簡化認證的優(yōu)勢能夠加快上市速度。

要詳細了解這些器件的優(yōu)勢,請閱讀應用簡報《具有集成電源的低輻射信號隔離器可滿足CISPR 32要求》。

編輯:金巧

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 集成電路
    +關(guān)注

    關(guān)注

    5372

    文章

    11259

    瀏覽量

    359901
  • 電容器
    +關(guān)注

    關(guān)注

    63

    文章

    6165

    瀏覽量

    98965
  • 電感器
    +關(guān)注

    關(guān)注

    20

    文章

    2310

    瀏覽量

    70290
  • 數(shù)據(jù)
    +關(guān)注

    關(guān)注

    8

    文章

    6772

    瀏覽量

    88660
  • 模擬
    +關(guān)注

    關(guān)注

    7

    文章

    1420

    瀏覽量

    83863
收藏 人收藏

    評論

    相關(guān)推薦

    TVP5146常見問題

    電子發(fā)燒友網(wǎng)站提供《TVP5146常見問題.pdf》資料免費下載
    發(fā)表于 09-30 09:46 ?0次下載
    TVP5146<b class='flag-5'>常見問題</b>

    TFPxxx常見問題解答

    電子發(fā)燒友網(wǎng)站提供《TFPxxx常見問題解答.pdf》資料免費下載
    發(fā)表于 09-29 09:56 ?0次下載
    TFPxxx<b class='flag-5'>常見問題</b>解答

    DDR4內(nèi)存的常見問題有哪些

    DDR4內(nèi)存作為當前廣泛應用的內(nèi)存標準,盡管其性能穩(wěn)定且技術(shù)成熟,但在實際使用過程中仍可能遇到一些常見問題。
    的頭像 發(fā)表于 09-04 12:35 ?486次閱讀

    如何解決4G工業(yè)路由器常見問題

    本文介紹了4G工業(yè)路由器常見問題及解決方法,包括網(wǎng)絡連接不穩(wěn)定、數(shù)據(jù)傳輸速度慢、發(fā)熱嚴重、死機等問題,并提供選擇和管理4G路由器的建議,以提高工業(yè)自動化和物聯(lián)網(wǎng)應用效果。
    的頭像 發(fā)表于 07-01 14:23 ?286次閱讀
    如何解決<b class='flag-5'>4</b>G工業(yè)路由器<b class='flag-5'>常見問題</b>?

    PCB設計中的常見問題有哪些?

    一站式PCBA智造廠家今天為大家講講PCB設計中的常見問題有哪些?PCB設計布局時容易出現(xiàn)的五大常見問題。在電子產(chǎn)品的開發(fā)過程中,PCB(Printed Circuit Board,印刷電路
    的頭像 發(fā)表于 05-23 09:13 ?671次閱讀
    PCB設計中的<b class='flag-5'>常見問題</b>有哪些?

    Ubuntu系統(tǒng)常見問題及解答

    今天小編為大家總結(jié)了Ubuntu系統(tǒng)常見問題的解決方法,便于收藏和查閱,大家快快碼住哦~
    的頭像 發(fā)表于 04-19 12:29 ?888次閱讀
    Ubuntu系統(tǒng)<b class='flag-5'>常見問題</b>及解答

    步進電機常見問題及維護

    ? ? ? 步進電機是一種將電脈沖信號轉(zhuǎn)換為角位移或線位移的開環(huán)控制元件,廣泛應用于各種自動化設備和系統(tǒng)中。然而,在使用過程中,步進電機也會遇到一些常見問題,需要進行適當?shù)木S護。本文將介紹步進電機
    的頭像 發(fā)表于 03-17 08:36 ?718次閱讀
    步進電機<b class='flag-5'>常見問題</b>及維護

    觸摸芯片DL102K應用常見問題合集

    觸摸芯片DL102K應用常見問題合集,回答了追問頻率比較高的9問題。
    的頭像 發(fā)表于 12-26 09:10 ?604次閱讀
    觸摸芯片DL102K應用<b class='flag-5'>常見問題</b>合集

    光耦失效的幾種常見問題解析

    光耦失效的幾種常見問題解析? 光耦失效是一常見的問題,特別是在電子設備中經(jīng)常使用光耦進行隔離信號傳輸?shù)那闆r下。下面將詳細介紹一些光耦失效
    的頭像 發(fā)表于 12-25 14:30 ?5515次閱讀

    DC電源模塊的常見問題有哪些?

    BOSHIDA DC電源模塊是一種常見電源供應設備,主要用于將交流電(AC)轉(zhuǎn)換為直流電(DC)。然而,無論是新購買的還是已經(jīng)使用一段時間的DC電源模塊,都可能會遇到一些
    的頭像 發(fā)表于 12-05 13:56 ?707次閱讀
    DC<b class='flag-5'>電源</b>模塊的<b class='flag-5'>常見問題</b>有哪些?

    伺服線束生產(chǎn)加工過程中的常見問題

    在上一期的《伺服線束常見問題總結(jié)干貨》一文中,我們詳細闡述了關(guān)于規(guī)格選型、工況環(huán)境、現(xiàn)場布線、生產(chǎn)加工和材料等五方面的常見問題。本期,我們將針對伺服線束異常問題,按照異常發(fā)生的時間節(jié)點,從首次上機、間隙不良、停機故障以及其他方
    的頭像 發(fā)表于 12-05 10:57 ?1398次閱讀

    精密模擬電路的最常見問題是什么?

    精密模擬電路的最常見問題是什么? 可能是接地錯誤,不過還有許多其它常見錯誤。這些主要是疏忽大意造成的,工程師是人不是神,也可能會丟三落四。別忘了以下14條幫助您解決問題的方法哦。 1.
    發(fā)表于 11-24 07:29

    CLOCK常見問題解答

    電子發(fā)燒友網(wǎng)站提供《CLOCK常見問題解答.pdf》資料免費下載
    發(fā)表于 11-23 10:23 ?0次下載
    CLOCK<b class='flag-5'>常見問題</b>解答

    隔離、iCoupler技術(shù)和iCoupler產(chǎn)品常見問題解答

    電子發(fā)燒友網(wǎng)站提供《隔離、iCoupler技術(shù)和iCoupler產(chǎn)品常見問題解答.pdf》資料免費下載
    發(fā)表于 11-22 10:36 ?0次下載
    <b class='flag-5'>隔離</b>、iCoupler技術(shù)和iCoupler產(chǎn)品<b class='flag-5'>常見問題</b>解答

    C語言的常見問題

    電子發(fā)燒友網(wǎng)站提供《C語言的常見問題集.pdf》資料免費下載
    發(fā)表于 11-18 10:29 ?0次下載
    C語言的<b class='flag-5'>常見問題</b>集