0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vitis下Zynq硬件平臺的測試

C29F_xilinx_inc ? 來源:賽靈思 ? 作者:賽靈思 ? 2022-02-16 16:21 ? 次閱讀

在Zynq開發(fā)時(shí),在Vivado中新建Zynq硬件平臺,加入DMA、AXI接口模塊,在進(jìn)行構(gòu)建軟件系統(tǒng)之前,通常需要對硬件平臺進(jìn)行驗(yàn)證,檢測模塊新建過程中是否存在問題。下面對這一過程進(jìn)行簡單介紹。

導(dǎo)出硬件平臺
在完成硬件平臺搭建后,F(xiàn)ile-> Export->Export Hardware,選擇Fixed,include bitstream,導(dǎo)出.xsa文件。

poYBAGIMpvyAABZIAAJ_a-2vhBM441.png

在Vitis中新建工程
在Vivado中打開Vitis,Tool->Launch Vitis IDE,在Workspace中輸入Vitis工作目錄。

poYBAGIMpv6AV_mgAAFgLLk01Hc042.png

點(diǎn)擊Create Application Project,選擇Create a new platform from hardware(XSA),選擇之前生成的.xsa文件,新建工程zynq7035。

pYYBAGIMpwCAO9BLAAJM_WP2LB8507.png

pYYBAGIMpwGATc1HAAD4tIeSWKk894.png

新建Empty Application,F(xiàn)inish。

編寫裸機(jī)軟件代碼
建立好工程后,在src中加入軟件代碼,點(diǎn)擊Import Sources,選擇編寫好的代碼。

pYYBAGIMpwOAFmZTAADpiXLHApk378.png

編譯代碼,Build Project。

Vivado和Vitis聯(lián)合調(diào)試
使用Vivado和Vitis聯(lián)合調(diào)試分為以下步驟:

  • 在vitis中運(yùn)行調(diào)試程序
  • 在vivado中下載程序,設(shè)置ila觸發(fā)條件
  • 在vitis中單步運(yùn)行程序
  • 在vivado中查看ila輸出結(jié)果,在vitis中查看調(diào)試結(jié)果

在vitis中運(yùn)行調(diào)試程序

右擊工程文件,Debug as->1,進(jìn)入調(diào)試界面

在vivado中下載程序

同時(shí)下載.bit和ltx文件,下載后遇到如下問題:

poYBAGIMpwWACi_qAAJi7xNsidU307.png

在Vitis中運(yùn)行一下軟件代碼,然后refresh device可以解決。原因也很好理解,ila時(shí)鐘使用PS輸出,PS先運(yùn)行后才能輸出時(shí)鐘。

在vitis中單步運(yùn)行程序

在Window中點(diǎn)擊Memory,輸入要查看數(shù)據(jù)在內(nèi)存中的地址,在Memory中查看數(shù)據(jù)。

pYYBAGIMpwiAUpvyAAZPHalmkhw029.png

單步運(yùn)行程序程序

poYBAGIMpwmADkz-AAAklM1vj9s470.png

重復(fù)上述過程,完成代碼調(diào)試。

審核編輯:符乾江

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • Zynq
    +關(guān)注

    關(guān)注

    9

    文章

    607

    瀏覽量

    47084
  • Vitis
    +關(guān)注

    關(guān)注

    0

    文章

    145

    瀏覽量

    7361
收藏 人收藏

    評論

    相關(guān)推薦

    正點(diǎn)原子ZYNQ7015開發(fā)板!ZYNQ 7000系列、雙核ARM、PCIe2.0、SFPX2,性能強(qiáng)悍,資料豐富!

    提供了豐富的開發(fā)文檔和軟件資源,涉及FPGA開發(fā)、Vitis裸機(jī)開發(fā)、Linux系統(tǒng)開發(fā)和PCle開發(fā)! 一、重磅新品!正點(diǎn)原子FPGA新品ZYNQ7015開發(fā)板&核心板 二
    發(fā)表于 09-14 10:12

    [XILINX] 正點(diǎn)原子ZYNQ7035/7045/7100開發(fā)板發(fā)布、ZYNQ 7000系列、雙核ARM、PCIe2.0、SFPX2!

    豐富的開發(fā)文檔和軟件資源,涉及FPGA開發(fā)、Vitis裸機(jī)開發(fā)、Linux系統(tǒng)開發(fā)和PCIe開發(fā)等教學(xué)領(lǐng)域,助力開發(fā)者輕松上手! 一、重磅新品!正點(diǎn)原子FPGA新品ZYNQ7035/7045/7100
    發(fā)表于 09-02 17:18

    如何使用AP525測試泰凌硬件的音頻指標(biāo)(二)— AP525測試平臺介紹

    本章節(jié)將以AP525為例,介紹儀器硬件接口及AP測試軟件界面及常規(guī)的序列測試操作流程,熟悉本章節(jié)后將以泰凌TLSR9518A EVB作為DUT測試不同模式
    發(fā)表于 06-26 09:32 ?757次閱讀
    如何使用AP525<b class='flag-5'>測試</b>泰凌<b class='flag-5'>硬件</b>的音頻指標(biāo)(二)— AP525<b class='flag-5'>測試</b><b class='flag-5'>平臺</b>介紹

    AMD Vitis?設(shè)計(jì)工具中的Libraries新功能介紹

    AMD Vitis? 2023.2 設(shè)計(jì)工具是 Vitis 設(shè)計(jì)工具變化較大的一個(gè)版本,設(shè)計(jì)流程和界面都發(fā)生了變化。
    的頭像 發(fā)表于 05-29 09:50 ?469次閱讀
    AMD <b class='flag-5'>Vitis</b>?設(shè)計(jì)工具中的Libraries新功能介紹

    在Windows 10上創(chuàng)建并運(yùn)行AMD Vitis?視覺庫示例

    本篇文章將演示創(chuàng)建一個(gè)使用 AMD Vitis? 視覺庫的 Vitis HLS 組件的全過程。此處使用的是 Vitis Unified IDE。如果您使用的是舊版 AMD Vitis
    的頭像 發(fā)表于 05-08 14:02 ?578次閱讀
    在Windows 10上創(chuàng)建并運(yùn)行AMD <b class='flag-5'>Vitis</b>?視覺庫示例

    硬件平臺介紹及使用(SC171開發(fā)套件V2)

    .pdf 硬件 開發(fā)板使用指南 *附件:Fibocom_EVB-SOC-U-開發(fā)板使用指南_V1.1_20240229.pdf 硬件 Aidlux串口功能測試 *附件:Aid
    發(fā)表于 04-09 15:34

    Vitis2023.2使用之—— updata to Vitis Unified IDE

    2023.2的classic Vitis IDE工程的方法是編譯好vivado工程后重新導(dǎo)出硬件.xsa 基于導(dǎo)出的硬件重新生成平臺工程和應(yīng)用工程。 工程編譯好后單擊
    發(fā)表于 03-24 17:14

    Vitis2023.2使用之—— classic Vitis IDE

    Vitis 已經(jīng)更新到2023.2了,新版本相較于舊版本更新了嵌入式平臺,新版平臺增加了Versal? AI 引擎 DSP 設(shè)計(jì)的增強(qiáng)功能,全新的獨(dú)立 Vitis 嵌入式軟件,最新
    發(fā)表于 03-24 16:15

    Vitis2023.2全新GUI的功能特性介紹

    Vitis2023.2之前就安裝過了,vivado 2023.2相比于2023.1區(qū)別不明顯,但嵌入式平臺vitis2023.2的變化很大,有種vscode的既視感,更符合軟件開發(fā)人員的習(xí)慣。
    的頭像 發(fā)表于 01-05 09:42 ?1146次閱讀
    <b class='flag-5'>Vitis</b>2023.2全新GUI的功能特性介紹

    Vitis AI用戶指南

    電子發(fā)燒友網(wǎng)站提供《Vitis AI用戶指南.pdf》資料免費(fèi)下載
    發(fā)表于 01-03 10:51 ?1次下載
    <b class='flag-5'>Vitis</b> AI用戶指南

    基于Zynq FPGA對雷龍SD NAND的測試

    文章目錄一、SDNAND特征1.1SD卡簡介1.2SD卡Block圖二、SD卡樣片三、Zynq測試平臺搭建3.1測試流程3.2SOC搭建四、軟件搭建五、
    的頭像 發(fā)表于 12-22 17:45 ?469次閱讀
    基于<b class='flag-5'>Zynq</b> FPGA對雷龍SD NAND的<b class='flag-5'>測試</b>

    基于Zynq FPGA對雷龍SD NAND的測試

    文章目錄 一、SD NAND特征 1.1 SD卡簡介 1.2 SD卡Block圖 二、SD卡樣片 三、Zynq測試平臺搭建 3.1 測試流程 3.2 SOC搭建 四、軟件搭建 五、
    發(fā)表于 12-22 17:43

    Vitis 統(tǒng)一軟件平臺文檔

    AMD Vitis 軟件平臺是一款開發(fā)環(huán)境,主要用于開發(fā)包括 FPGA 架構(gòu)、Arm 處理器子系統(tǒng)和 AI 引擎在內(nèi)的設(shè)計(jì)。Vitis 工具與 AMD Vivado ML 設(shè)計(jì)套件相結(jié)合,可為
    的頭像 發(fā)表于 12-20 10:00 ?459次閱讀
    <b class='flag-5'>Vitis</b> 統(tǒng)一軟件<b class='flag-5'>平臺</b>文檔

    使用 PCIE 更新 AMD ZYNQ? 的 QSPI Flash 參考設(shè)計(jì)

    的 QSPI 控制器,用來更新 Flash 的啟動分區(qū)。 軟件方面,移植了 Embedded SW 的相關(guān)驅(qū)動代碼至 xdma 平臺,并提供了一個(gè)簡單的上位機(jī)測試程序,用來燒寫啟動鏡像至 Flash 分區(qū)
    發(fā)表于 11-30 18:49

    Vitis加速庫:廣泛且性能優(yōu)化的開源庫

    Vitis 統(tǒng)一軟件平臺包括一組廣泛的、性能優(yōu)化的開源庫,這些庫提供了即開即用的加速功能,并且對現(xiàn)有應(yīng)用實(shí)現(xiàn)最小化代碼更改或零更改。
    的頭像 發(fā)表于 10-30 17:23 ?668次閱讀
    <b class='flag-5'>Vitis</b>加速庫:廣泛且性能優(yōu)化的開源庫