0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FDCE/FDPE/FDRE/FDSE觸發(fā)器簡介

FPGA之家 ? 來源:51CTO博客mob604756f37073 ? 作者:51CTO博客mob604756f ? 2022-03-15 11:59 ? 次閱讀

每個(gè) Slice 有 8 個(gè) FF 。四個(gè)可以配置為 D 型觸發(fā)器或電平敏感鎖存器,另外四個(gè)只能配置為 D 型觸發(fā)器,但是需要記得是:當(dāng)原來的四個(gè) FF 配置為鎖存器時(shí),不能使用這四個(gè) FF 。

FDCE

簡介

帶有時(shí)鐘使能和異步清零的 D 觸發(fā)器

be82011a-9197-11ec-952b-dac502259ad0.png
  • 當(dāng)時(shí)鐘使能(CE)為高并且異步清零信號(hào) CLR 為低時(shí),該 D 觸發(fā)器將數(shù)據(jù)輸入 D 端傳遞到輸出 Q 端。

  • 當(dāng)CLR為高,不管輸入是什么,輸出 Q 端值為 0。

原語

FDCE #(.INIT(1'b0) // Initial value of register (1'b0 or 1'b1)) FDCE_inst (.Q(Q),      // 1-bit Data output.C(C),      // 1-bit Clock input.CE(CE),    // 1-bit Clock enable input.CLR(CLR),  // 1-bit Asynchronous clear input.D(D)       // 1-bit Data input);

真值表

be954f18-9197-11ec-952b-dac502259ad0.png

FDPE

簡介

帶有時(shí)鐘使能和異步置位的 D 觸發(fā)器

beaad978-9197-11ec-952b-dac502259ad0.png
  • 當(dāng)時(shí)鐘使能(CE)為高并且異步置位信號(hào) PRE 為低時(shí),該 D 觸發(fā)器將數(shù)據(jù)輸入 D 端傳遞到輸出 Q 端。

  • 當(dāng) PRE 為高,不管輸入是什么,輸出 Q 端值為 1。

原語

FDPE #(  .INIT(1'b0) // Initial value of register (1'b0 or 1'b1)) FDPE_inst (  .Q(Q),      // 1-bit Data output  .C(C),      // 1-bit Clock input  .CE(CE),    // 1-bit Clock enable input  .PRE(PRE),  // 1-bit Asynchronous preset input  .D(D)       // 1-bit Data input);

真值表

bec4a132-9197-11ec-952b-dac502259ad0.png

FDRE

簡介

帶有時(shí)鐘使能和同步清零的 D 觸發(fā)器

bedd74b4-9197-11ec-952b-dac502259ad0.png
  • 當(dāng)時(shí)鐘使能(CE)為高并且同步清零信號(hào) R 為低時(shí),該 D 觸發(fā)器在時(shí)鐘上升沿將數(shù)據(jù)輸入 D 端傳遞到輸出 Q 端。

  • 當(dāng) R 為高,不管輸入是什么,輸出 Q 端值為 0。

原語

FDRE #(  .INIT(1'b0) // Initial value of register (1'b0 or 1'b1)) FDRE_inst (  .Q(Q),      // 1-bit Data output  .C(C),      // 1-bit Clock input  .CE(CE),    // 1-bit Clock enable input  .R(R),      // 1-bit Synchronous reset input  .D(D)       // 1-bit Data input);

真值表

befcc8c8-9197-11ec-952b-dac502259ad0.png

FDSE

簡介

帶有時(shí)鐘使能和同步置位的 D 觸發(fā)器

bf18b4d4-9197-11ec-952b-dac502259ad0.png
  • 當(dāng)時(shí)鐘使能(CE)為高并且同步置位信號(hào) S 為低時(shí),該 D 觸發(fā)器在時(shí)鐘上升沿將數(shù)據(jù)輸入 D 端傳遞到輸出 Q 端。

  • 當(dāng) S 為高,不管輸入是什么,輸出 Q 端值為 1。

原語

FDSE #(  .INIT(1'b0) // Initial value of register (1'b0 or 1'b1)) FDSE_inst (  .Q(Q),      // 1-bit Data output  .C(C),      // 1-bit Clock input  .CE(CE),    // 1-bit Clock enable input  .S(S),      // 1-bit Synchronous set input  .D(D)       // 1-bit Data input);

真值表

bf38b1f8-9197-11ec-952b-dac502259ad0.png

原文標(biāo)題:參考鏈接

文章出處:【微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

審核編輯:湯梓紅
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 時(shí)鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1701

    瀏覽量

    131187
  • 鎖存器
    +關(guān)注

    關(guān)注

    8

    文章

    904

    瀏覽量

    41391
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1992

    瀏覽量

    60978

原文標(biāo)題:參考鏈接

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    硬件描述語言(HDL)編碼技術(shù):xilinx verilog語法技巧

    and Registers : Vivado綜合根據(jù)HDL代碼的編寫方式推斷出四種類型的寄存原語: ?FDCE:具有時(shí)鐘使能和異步清除的D觸發(fā)器 ?FDPE:具有時(shí)鐘使能和異步預(yù)設(shè)
    的頭像 發(fā)表于 12-13 10:29 ?3459次閱讀

    JK觸發(fā)器,JK觸發(fā)器是什么意思

    JK觸發(fā)器,JK觸發(fā)器是什么意思 1.主從JK觸發(fā)器主從結(jié)構(gòu)觸發(fā)器也可以徹底解決直接控制,防止空翻。這里以性能優(yōu)良、廣泛使用的主從JK觸發(fā)器
    發(fā)表于 03-08 13:36 ?6669次閱讀

    J-K觸發(fā)器實(shí)驗(yàn)原理簡介

    J-K觸發(fā)器實(shí)驗(yàn)原理簡介 1.J-K觸發(fā)器    74LS112雙J-K觸發(fā)器的邏輯符號(hào)和J-K觸發(fā)器
    發(fā)表于 03-08 13:42 ?1.7w次閱讀

    D觸發(fā)器,D觸發(fā)器是什么意思

    D觸發(fā)器,D觸發(fā)器是什么意思   邊沿D 觸發(fā)器:  電平觸發(fā)的主從觸發(fā)器工作時(shí),必須在正跳沿前加入輸入信號(hào)。如果在CP 高
    發(fā)表于 03-08 13:53 ?4849次閱讀

    什么是RS觸發(fā)器,RS觸發(fā)器的工作原理是什么?

    什么是RS觸發(fā)器,RS觸發(fā)器的工作原理是什么? 主從RS觸發(fā)器
    發(fā)表于 03-08 14:00 ?3.1w次閱讀

    施密特觸發(fā)器,施密特觸發(fā)器是什么意思

    施密特觸發(fā)器,施密特觸發(fā)器是什么意思 施密特觸發(fā)器也有兩個(gè)穩(wěn)定狀態(tài),但與一般觸發(fā)器不同的是,施密特觸發(fā)器采用電位
    發(fā)表于 03-08 14:14 ?1928次閱讀

    觸發(fā)器的作用_觸發(fā)器的特點(diǎn)介紹

    本文開始介紹了觸發(fā)器的定義和觸發(fā)器的特點(diǎn),其次闡述了觸發(fā)器的分類和觸發(fā)器的作用,最后介紹了觸發(fā)器的工作原理。
    發(fā)表于 03-27 17:35 ?2.2w次閱讀

    電平觸發(fā)器,脈沖觸發(fā)器和邊沿觸發(fā)器觸發(fā)因素是什么

    脈沖觸發(fā)器由兩個(gè)相同的電平觸發(fā)的SR觸發(fā)器組成,其中左SR觸發(fā)器成為主觸發(fā)器,右手側(cè)稱為從觸發(fā)器
    的頭像 發(fā)表于 02-11 10:56 ?9082次閱讀
    電平<b class='flag-5'>觸發(fā)器</b>,脈沖<b class='flag-5'>觸發(fā)器</b>和邊沿<b class='flag-5'>觸發(fā)器</b>的<b class='flag-5'>觸發(fā)</b>因素是什么

    教你們?cè)趺慈ピO(shè)定寄存的初始值

    對(duì)于寄存,如果沒有明確指定其初始值,Vivado會(huì)根據(jù)其類型(FDCE/FDRE/FDPE/FDRE)設(shè)定合適的初始值。有些工程師喜歡使用
    的頭像 發(fā)表于 04-01 10:27 ?7121次閱讀
    教你們?cè)趺慈ピO(shè)定寄存<b class='flag-5'>器</b>的初始值

    FPGA——LUT/FDRE/FDCE/FDSE/FDPE

    因?yàn)楫?dāng)輸入數(shù)據(jù)的位數(shù)遠(yuǎn)大于一個(gè)LUT的輸入時(shí),就需要用多個(gè)LUT級(jí)聯(lián)來實(shí)現(xiàn)邏輯,那么級(jí)聯(lián)產(chǎn)生的延時(shí)也就不可避免了,這樣就會(huì)制約系統(tǒng)的運(yùn)行頻率。那么為了避免級(jí)聯(lián)數(shù)過于多,就采用插入寄存的方法來實(shí)現(xiàn)
    的頭像 發(fā)表于 11-09 14:43 ?2100次閱讀

    觸發(fā)器觸發(fā)順序是什么

    不同類型的觸發(fā)器可能有不同的執(zhí)行順序。例如,對(duì)于同一個(gè)表上的多個(gè)觸發(fā)器,插入觸發(fā)器(INSERT trigger)可能先于更新觸發(fā)器(UPDATE trigger)執(zhí)行。
    的頭像 發(fā)表于 02-05 10:09 ?974次閱讀
    <b class='flag-5'>觸發(fā)器</b>的<b class='flag-5'>觸發(fā)</b>順序是什么

    t觸發(fā)器和jk觸發(fā)器的區(qū)別和聯(lián)系

    觸發(fā)器是數(shù)字電路中常用的組合邏輯電路,在現(xiàn)代電子系統(tǒng)中有著廣泛的應(yīng)用。其中,最常用的兩種觸發(fā)器是T觸發(fā)器和JK觸發(fā)器。本文將詳細(xì)介紹T觸發(fā)器
    的頭像 發(fā)表于 02-06 14:04 ?5308次閱讀

    t觸發(fā)器變?yōu)閐觸發(fā)器的條件

    在數(shù)字電路設(shè)計(jì)中,觸發(fā)器是一種非常重要的存儲(chǔ)元件,用于存儲(chǔ)一位二進(jìn)制信息。觸發(fā)器的種類很多,其中最為常見的有JK觸發(fā)器、D觸發(fā)器和T觸發(fā)器
    的頭像 發(fā)表于 08-22 10:33 ?502次閱讀

    d觸發(fā)器和jk觸發(fā)器的區(qū)別是什么

    引言 數(shù)字電路是現(xiàn)代電子技術(shù)的基礎(chǔ),廣泛應(yīng)用于計(jì)算機(jī)、通信、控制等領(lǐng)域。觸發(fā)器是數(shù)字電路中的一種基本邏輯元件,具有存儲(chǔ)和傳遞信息的功能。 觸發(fā)器的基本概念 觸發(fā)器是一種具有記憶功能的數(shù)字電路元件
    的頭像 發(fā)表于 08-22 10:37 ?620次閱讀

    怎么用jk觸發(fā)器變成t觸發(fā)器

    將JK觸發(fā)器變成T觸發(fā)器,主要涉及到對(duì)JK觸發(fā)器的輸入端口進(jìn)行適當(dāng)?shù)倪B接和配置,以實(shí)現(xiàn)T觸發(fā)器的邏輯功能。以下是將JK觸發(fā)器轉(zhuǎn)換為T
    的頭像 發(fā)表于 08-28 09:41 ?536次閱讀