0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

淺談高數(shù)值孔徑EUV系統(tǒng)的好處

要長高 ? 來源:半導體工程 ? 作者:半導體工程 ? 2022-06-22 15:09 ? 次閱讀

智能手機和電視系統(tǒng)的手機屏幕顯示為高級材質(zhì),顯示為主流的材質(zhì)。 具有透明到米的工具、屏幕系列的基礎屏幕上,在液晶和光刻硬件上,在液晶和光刻硬件上刻畫,和解決方案的公司打算在 2025 年 20 月 25 日歐盟認為技術(shù)部署到大公司生產(chǎn)。計劃是的。

高 NA EUV 有望實現(xiàn)縮小到埃級別,為具有更高晶體管數(shù)量的芯片和全新的工具、材料和系統(tǒng)架構(gòu)浪潮奠定基礎。

之前英特爾光刻硬件和解決方案總監(jiān) Mark Phillips 重申了公司打算在 2025 年將該技術(shù)部署到大批量生產(chǎn)中。雖然許多觀察家認為這個時間表是激進的,但該公司可能希望避免(或至少延遲)對 EUV 多重圖案工藝的需求。

高數(shù)值孔徑 EUV 系統(tǒng)的好處可以用一個詞來概括——分辨率。將孔徑增加到 0.55,而不是當前曝光系統(tǒng)中的 0.33,可以成比例地提高可實現(xiàn)的臨界尺寸,相對于 0.33 NA 系統(tǒng)的 13nm,0.5 NA EUV 可能低至 8nm。

poYBAGKyv5uAADMMAAAsDl1cJfc583.png

不幸的是,目前還不存在量產(chǎn)的高數(shù)值孔徑 EUV 掃描儀。在上個月在 SPIE 上展示的工作中,ASML 和蔡司報告說,雖然開發(fā)正在按計劃進行,但預計要到 2023 年才能安裝第一個系統(tǒng)。從 0.33 到 0.55 NA 的轉(zhuǎn)變沒有最初引入 EUV 光刻那么激進,但光刻生態(tài)系統(tǒng)不僅包括對掃描儀的更改。為了在 2025 年之前將高 NA 系統(tǒng)引入批量生產(chǎn),該行業(yè)將需要改進光掩模、抗蝕劑疊層和圖案轉(zhuǎn)移工藝的其他方面。

根本的挑戰(zhàn)是較大的數(shù)值孔徑會導致 EUV 光子以較低的入射角撞擊晶圓,從而降低焦深。這種較低的角度會加劇 3D 掩模效果,并使抗蝕劑中潛像的形成復雜化。

poYBAGKyv6yAV3FkAAIZijzTDzw362.png

圖 EUV 掩模的橫截面。資料來源:Luong, V.、Philipsen, V.、Hendrickx, E.、Opsomer, K.、Detavernier, C.、Laubis, C.、Scholze, F.、Heyns, M.,“Ni-Al 合金作為 EUV 的替代品面罩吸收器,”應用程序。科學。(8), 521 (2018)。(Imec,魯汶大學,根特大學,PTB)

口罩有厚度

雖然光學光刻(365nm 至 193nm)系統(tǒng)使用折射光學器件,但 EUV 系統(tǒng)依賴于反射光學器件。入射的 13.5nm 波長光子撞擊多層鏡 - 目前由鉬/硅雙層組成 - 并以所需角度反射回來(見圖 2)。光掩模通過在反射光子的路徑中放置一個吸收層來創(chuàng)建其圖案。

雖然將面罩可視化為頂部帶有二維吸收器圖案的平面鏡很方便,但它實際上是一個三維物體。反射平面位于多層內(nèi)部,當前材料的深度約為 50nm。吸收層具有厚度、折射率 (n) 和消光系數(shù) (k),所有這些都會影響其產(chǎn)生的強度分布。

在更高的孔徑下,光子以更淺的角度撞擊掩模,相對于圖案尺寸投射更長的陰影。“黑暗”、完全被遮擋的區(qū)域和“明亮”、完全曝光的區(qū)域之間的邊界變?yōu)榛疑瑥亩档土藞D像對比度。

這些影響并不新鮮。自 90nm 節(jié)點以來,相移掩模已用于生產(chǎn)。2020 年,弗勞恩霍夫研究所、Imec、ASML 和蔡司的 Andreas Erdmann 及其同事系統(tǒng)地分析了 EUV 掩模材料對成像行為的影響。[1] 但是,即將采用的高 NA EUV 系統(tǒng)將 3D 掩模效果推到了最前沿。有幾個選項可用于降低有效吸收器高度,從而降低 3D 掩模效果的影響。

第一個也是最簡單的方法是減小吸收材料的厚度。Imec 高級圖案化項目總監(jiān) Kurt Ronse 表示,由高 NA EUV 圖案化的第一層可能具有相對寬松的尺寸,約為 28nm。簡單地降低吸收器高度應該提供足夠的對比度。然而,隨著功能不斷縮小,制造商將需要重新考慮吸收材料。Erdmann 指出,目前使用的鉭基吸收體的光學特性相對較差。降低吸收體的折射率將改善劑量-尺寸特性,在恒定曝光劑量下實現(xiàn)更小的特征。同時,增加消光系數(shù)會減少三維效應。

不幸的是,n 和 k 不是掩模制造商可以簡單地在工藝刻度盤上設置的獨立參數(shù)。它們是材料屬性,因此彼此相關(guān),并與吸收器的其他特性相關(guān)。為了采用新材料,掩模制造商必須能夠蝕刻它并修復缺陷。目前用于鉭吸收體的反應性離子蝕刻是一些候選材料的一種選擇,但新的吸收體仍可能需要新的蝕刻工藝和新的化學物質(zhì)。接觸層和金屬層有不同的要求,可能需要不同的吸收體。Ronse 說,此時還沒有出現(xiàn)共識選擇。為了繼續(xù)進行工藝開發(fā),掩模制造商需要行業(yè)的額外指導。

甚至在更遠的地方,具有不同消光系數(shù)的新多層掩??瞻卓梢詼p少反射平面的有效深度。例如,用釕代替鉬將提供 40nm 的反射深度。不過,更換多層材料比更換吸收器還要復雜。新的掩??瞻讓⑿枰_到相同或更好的厚度均勻性和缺陷規(guī)格。Ronse 說,盡管最終可能有必要,但新的多層不會很快出現(xiàn)。

掩模制造方面的另一個變化是從可變形狀光束 (VSB) 電子束掩模寫入器到多光束掩模寫入器。“多光束寫入器更適合 EUV,因為曝光抗蝕劑需要更多的能量,并且會產(chǎn)生加熱問題。所以你希望能夠使用多光束,即使是簡單的形狀。但多光束還可以在掩模上制造曲線形狀,而不會造成寫入時間損失,”D2S 首席執(zhí)行官 Aki Fukimura 說。

模式轉(zhuǎn)移變得(更)復雜

在穿過光掩模的吸收圖案后,EUV 光子會遇到晶圓及其光刻膠層。減小的焦深使得同時保持抗蝕劑疊層的頂部和晶片平面聚焦變得更加困難。如果焦點錯誤使相鄰特征靠得太近,則間隙無法清除并出現(xiàn)橋接缺陷。如果特征之間的空間太大,則所得到的光刻膠特征太薄并在其自身重量下塌陷。

在 SPIE 上展示的工作中,Tokyo Electron 蝕刻產(chǎn)品組的主管 Angélique Raley 解釋說,如果沒有足夠的聚焦深度,兩種方案之間已經(jīng)很窄的工藝窗口可能會完全消失。[2] 降低抗蝕劑厚度既可以提高焦點,又可以降低圖案崩塌的風險,但也會帶來額外的挑戰(zhàn)。

首先是較薄的抗蝕劑更容易產(chǎn)生隨機缺陷。EUV 曝光源提供的光子數(shù)量已經(jīng)很低,較薄的抗蝕劑吸收確實到達的光子的能力較差。表現(xiàn)為線邊緣粗糙度的隨機缺陷已經(jīng)是導致 EUV 良率損失的主要因素。

通常,圖案轉(zhuǎn)移工藝依賴于復雜的疊層,包括光刻膠、促進粘附的底層和硬掩模層。初始步驟在轉(zhuǎn)移到晶圓之前復制硬掩模中的抗蝕劑圖案。如果曝光和未曝光的抗蝕劑特征之間的對比度較差,則可能需要一個初步的“去渣”步驟。在殘留物去除和圖案轉(zhuǎn)移蝕刻過程中,較薄的抗蝕劑更容易受到腐蝕。這些擔憂并不新鮮。一段時間以來,業(yè)界一直在研究替代抗蝕劑化學物質(zhì)。盡管如此,還沒有出現(xiàn)普遍接受的傳統(tǒng)化學放大抗蝕劑的繼任者。

在化學放大的抗蝕劑中,入射光子激活光酸產(chǎn)生劑分子,每個分子產(chǎn)生多種光酸。光酸反過來使抗蝕劑的主鏈聚合物去保護,使其可溶于顯影劑。然而,CAR 對 EUV 的吸收能力很差,需要相對較厚的層來捕獲足夠的劑量。

一種有希望的替代品是金屬氧化物抗蝕劑,它使用入射光子來分解氧化錫納米團簇。氧化物簇可溶于顯影劑中,而金屬錫則不溶于。這些是負性抗蝕劑。暴露使材料不溶。金屬氧化物本質(zhì)上更耐蝕刻并吸收更多的 EUV 光子,從而使它們能夠以更薄的層實現(xiàn)可比的結(jié)果。不幸的是,接觸孔,可能是高數(shù)值孔徑 EUV 曝光的第一個應用,需要正色調(diào)抗蝕劑。

然而,如上所述,圖案轉(zhuǎn)移疊層比光刻膠更多。底層材料,通常是旋涂玻璃或碳化硅,有助于促進抗蝕劑粘附。Raley 證明,這些材料可以擴大橋接和圖案塌陷缺陷之間的工藝窗口。然而,底層也增加了必須去除以將圖案轉(zhuǎn)移到硬掩模的整體厚度。它需要與抗蝕劑一起變得更薄。然而,杜邦公司的 Jae Hwan Sim 及其同事表明,底層密度取決于厚度。[3] 薄的、不夠致密的底層可以允許光酸擴散。這種行為會去除光刻膠底部的光酸,導致顯影不完全。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 英特爾
    +關(guān)注

    關(guān)注

    60

    文章

    9825

    瀏覽量

    171141
  • EUV
    EUV
    +關(guān)注

    關(guān)注

    8

    文章

    601

    瀏覽量

    85921
收藏 人收藏

    評論

    相關(guān)推薦

    光纖數(shù)值孔徑測量實驗

    實驗  光纖數(shù)值孔徑測量 一  實驗目的         1 加深對光纖數(shù)值孔徑的理解      &nbs
    發(fā)表于 08-22 09:20 ?8426次閱讀
    光纖<b class='flag-5'>數(shù)值孔徑</b>測量實驗

    顯微鏡物鏡上的數(shù)值孔徑是什么意思

    數(shù)值孔徑,簡寫為NA。 圖為數(shù)值孔徑為0.9的徠卡100倍物鏡。正常情況倍數(shù)越大,NA值也越大。 其大小由下式?jīng)Q定:NA = n * sin ,其中 n 是被觀察物體與物鏡之間介質(zhì)的折射率; 是物鏡
    發(fā)表于 06-03 10:31 ?1.7w次閱讀

    ASML研發(fā)更先進光刻機 數(shù)值孔徑極紫外光刻設計基本完成

    和NXE:3400C這兩款極紫外***之后,還在研發(fā)更先進、效率更高的極紫外***。 從外媒的報道來看,除了NXE:3600D,阿斯麥還在研發(fā)數(shù)值孔徑的極紫外***NXE:5000系列,設計已經(jīng)
    的頭像 發(fā)表于 12-29 11:06 ?2494次閱讀

    數(shù)值孔徑 EUV 系統(tǒng)好處

    隨著光刻膠層變得更薄,整體光刻膠的特性變得不那么重要,并且光刻膠(暴露與否)與顯影劑和底層之間的界面變得更加重要。
    發(fā)表于 09-21 11:05 ?996次閱讀

    數(shù)值孔徑EUV的技術(shù)要求是什么

    今年的大部分討論都集中在 EUV 的下一步發(fā)展以及數(shù)值孔徑 EUV 的時間表和技術(shù)要求上。ASML戰(zhàn)略營銷高級總監(jiān)Michael Lercel表示,目標是提高
    發(fā)表于 08-11 11:25 ?496次閱讀
    <b class='flag-5'>高</b><b class='flag-5'>數(shù)值孔徑</b><b class='flag-5'>EUV</b>的技術(shù)要求是什么

    關(guān)于數(shù)值孔徑EUV和曲線光掩模等燈具的討論

    、電子設計自動化(EDA)、芯片設計、設備、材料、制造和研究)的47家公司的行業(yè)知名人士參與了今年的調(diào)查。 80%的受訪者認為,到2028年,將有多家公司在大批量制造(HVM)中廣泛采用數(shù)值孔徑EUV
    的頭像 發(fā)表于 10-17 15:00 ?401次閱讀

    數(shù)值孔徑EUV的可能拼接解決方案

    采用曲線掩模的另一個挑戰(zhàn)是需要將兩個掩??p合在一起以在晶圓上形成完整的圖像。對于數(shù)值孔徑 EUV,半場掩模的拼接誤差是一個主要問題。
    的頭像 發(fā)表于 10-23 12:21 ?658次閱讀
    <b class='flag-5'>高</b><b class='flag-5'>數(shù)值孔徑</b><b class='flag-5'>EUV</b>的可能拼接解決方案

    數(shù)值孔徑 EUV技術(shù)路線圖

    數(shù)值孔徑EUV 今年的大部分討論都集中在EUV的下一步發(fā)展以及數(shù)值孔徑
    發(fā)表于 11-23 16:10 ?645次閱讀
    <b class='flag-5'>高</b><b class='flag-5'>數(shù)值孔徑</b> <b class='flag-5'>EUV</b>技術(shù)路線圖

    什么是光纖的數(shù)值孔徑,其物理意義是什么

    光纖的數(shù)值孔徑是指光纖傳輸中心芯的直徑與光纖外層材料的折射指數(shù)之間的參數(shù)差異。它是光纖傳輸?shù)囊粋€重要指標,對于確定光纖傳輸性能、光信號傳輸質(zhì)量等具有重要作用。 為了更好地理解光纖的數(shù)值孔徑,我們需要
    的頭像 發(fā)表于 01-22 10:55 ?3403次閱讀

    ASML推出首款2nm低數(shù)值孔徑EUV設備Twinscan NXE:3800E

    所謂低數(shù)值孔徑EUV,依然是行業(yè)絕對領(lǐng)先。
    的頭像 發(fā)表于 03-15 10:15 ?513次閱讀
    ASML推出首款2nm低<b class='flag-5'>數(shù)值孔徑</b><b class='flag-5'>EUV</b>設備Twinscan NXE:3800E

    單模光纖數(shù)值孔徑一般是多少

    單模光纖是一種用于光通信和光傳感的關(guān)鍵元件,具有優(yōu)異的傳輸性能和帶寬。其中,數(shù)值孔徑是單模光纖重要的參數(shù)之一。本文將詳細介紹單模光纖的數(shù)值孔徑,包括定義、計算方法、影響因素等內(nèi)容,以及單模光纖
    的頭像 發(fā)表于 04-09 17:13 ?1837次閱讀

    阿斯麥(ASML)公司首臺數(shù)值孔徑EUV光刻機實現(xiàn)突破性成果

    在半導體領(lǐng)域,技術(shù)創(chuàng)新是推動整個行業(yè)向前發(fā)展的重要動力。近日,荷蘭阿斯麥(ASML)公司宣布,成功打造了首臺采用0.55數(shù)值孔徑(NA)投影光學系統(tǒng)數(shù)值孔徑(High-NA)極紫外
    的頭像 發(fā)表于 04-18 11:50 ?760次閱讀
    阿斯麥(ASML)公司首臺<b class='flag-5'>高</b><b class='flag-5'>數(shù)值孔徑</b><b class='flag-5'>EUV</b>光刻機實現(xiàn)突破性成果

    英特爾完成數(shù)值孔徑EUV光刻機,將用于14A制程

    半導體設備制造商阿斯麥(ASML)于去年底在社交媒體上發(fā)布照片,揭示已向英特爾提供第一套數(shù)值孔徑EUV系統(tǒng)的關(guān)鍵部件。如今英特爾宣布已完成組裝,這無疑展示了其在行業(yè)中的領(lǐng)先地位。
    的頭像 發(fā)表于 04-19 10:07 ?503次閱讀

    英特爾率先推出業(yè)界數(shù)值孔徑 EUV 光刻系統(tǒng)

    來源:Yole Group 英特爾代工已接收并組裝了業(yè)界首個數(shù)值孔徑NA)極紫外(EUV)光刻系統(tǒng)。 新設備能夠大大提高下一代處理器的
    的頭像 發(fā)表于 04-26 11:25 ?411次閱讀

    英特爾完成首臺數(shù)值孔徑EUV光刻機安裝,助力代工業(yè)務發(fā)展

     知情人士透露,由于ASML數(shù)值孔徑EUV設備產(chǎn)能有限,每年僅能產(chǎn)出5至6臺,因此英特爾將獨享初始庫存,而競爭對手三星和SK海力士預計需等到明年下半年才能獲得此設備。
    的頭像 發(fā)表于 05-08 10:44 ?699次閱讀