0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

仿真軟件實操比較

冬至配餃子 ? 來源:信號完整性學(xué)習(xí)之路 ? 作者:廣元兄 ? 2022-08-16 09:56 ? 次閱讀

說到SI信號完整性,很多人的第一反映就是仿真,很多人的第一問就是:你們用什么仿真軟件?擱以前,我還試著解釋一下,現(xiàn)在就直接不說了,試著去做一些事情,做總比說管用。話不多說,直接上這篇文字的總結(jié)圖,如下:

poYBAGL6-P6ALWyJAABg_DlMN6w699.png

1、仿真軟件實操比較

本例以Cadence的Sigrity、ADS的SIpro&Ansys的SIwave三款軟件來進(jìn)行信號線的參數(shù)提取。

01 導(dǎo)入設(shè)計文檔

pYYBAGL6-OWAZFyfAACzzECIhEw481.pngpoYBAGL6-O2AThFxAAC-3PUFVBo123.png

從三者導(dǎo)入文檔的便捷度來看,Sigrity直接導(dǎo)入無障礙。SIwave需要設(shè)計文檔的格式轉(zhuǎn)換,DXF導(dǎo)出導(dǎo)入會報錯。遇到過的問題,如果設(shè)計版圖文檔較大,SIwave&ADS導(dǎo)入會有概率性報錯。

02 疊層和板材信息設(shè)置

pYYBAGL6-MqAWhf_AAG7LZDumtk794.png

疊層部分的設(shè)置,無外乎增減層數(shù),銅箔粗糙度,以及板材相關(guān)信息的選擇,三個軟件在這部分都差不多。區(qū)別在于對信號線的一些設(shè)置,Sigrity的相關(guān)設(shè)置比較簡單,SIwave有專門的蝕刻線形狀的設(shè)置。

當(dāng)然,就疊層的設(shè)置部分,SIwave看起來B格很高

pYYBAGL6-LmABTQFAAEBUm5veyA016.png

03 仿真信號線選擇設(shè)置

從這部分開始,ADS需要SIpro插件進(jìn)入。

記得第一次看這種實物型模型,感覺很喜歡。

poYBAGL6-KOATtnGAAE4Mvav87Y590.png

選擇仿真的信號線,Sigrity相對簡單,因為如界面所示,GND的部分直接可以選擇。而SIpro&SIwave需要單獨(dú)選擇,但是仿真端口(Port)的設(shè)置上,SIpro相對簡單。Sigrity&SIwave這邊都是手動生成的,點(diǎn)來點(diǎn)去比較麻煩。SIwave和Sigrity的自定義Port操作方式大體相同。其實Sigrity也有自動生成的模式。

pYYBAGL6-I2AQYafAAELrtgRzbo581.pngpoYBAGL6-JKAc5ZeAACMiOQJ7Zo365.png

04 模型選擇設(shè)置部分

模型賦予,這邊是提取DQ數(shù)據(jù)信號,就沒有對模型進(jìn)行賦予。三家都有自帶的原件庫,直接調(diào)用即可。這邊沒有使用模型,也怕三家的模型不一樣,對仿真結(jié)果產(chǎn)生影響。

05 仿真參數(shù)設(shè)置部分

pYYBAGL6-FyAOgf9AAC_Qc6QIY4563.pngpYYBAGL6-GGAetfTAADBhT7e7S0606.pngpoYBAGL6-G2AAB5VAACIlWT_aiw177.png

SIpro 的Option 部分,是對設(shè)計版圖的Mesh部分進(jìn)行設(shè)置。這和SIwave 中Other solver options ……里面的設(shè)置部分,思路相同。在這部分的設(shè)置中,Sigrity看似沒有。實際上,Sigrity在Tools的通用設(shè)置中,可以在仿真前就可以進(jìn)行相關(guān)設(shè)置。

pYYBAGL6-DCAFLIsAADdlcUp7dY968.png

最后,就是點(diǎn)仿真,出結(jié)果,看曲線……整個參數(shù)提取的流程主要就是上面的5個步驟。

2、仿真軟件介紹

從我從事SI工作開始,聽過的仿真軟件有:Hyperlynx、CST、Sigrity、ADS、SIwave以及HFSS。

Hyperlynx、CST、HFSS聽過沒用過。只知道CST射頻用的比較多,HFSS號稱業(yè)界標(biāo)桿。

pYYBAGL6-CCACNL0AABpFm3WSYg389.png

講講Sigrity吧。它是由Cadence推出,也是本人所學(xué)的第一款軟件。Sigrity上手操作簡單,只要把左側(cè)的操作步驟,一個一個按順序進(jìn)行設(shè)置,然后點(diǎn)擊仿真就行了。簡單粗暴易執(zhí)行,很適合新手上路。Sigrity有很多功能組件,比如PowerSI、PowerDC、OptimizePI、Speed2000等等,這些都是常用部分。當(dāng)然,最近半導(dǎo)體比較火,也在研究里面XtractIM功能組件。想來第一份工作就是半導(dǎo)體,兜兜轉(zhuǎn)轉(zhuǎn),還得補(bǔ)以前留下的坑。

電源部分著力推薦,電源樹好像是第一家提出的(個人印象),后ADS&Ansys跟進(jìn)導(dǎo)入這種模塊。后面有機(jī)會出點(diǎn)關(guān)于這款軟件的視頻吧。

關(guān)于ADS,之前只知道安捷倫和泰克,高速信號測試使用的示波器一般出自這兩家。后來安捷倫又改成是德,然后才用的ADS。ADS之前深耕于射頻領(lǐng)域,后期才進(jìn)入高速設(shè)計這一塊。在實際應(yīng)用中,針對高速Serdes鏈路這一塊,ADS用起來很方便。

相對于Sigrity&ADS的鏈路搭建,SIwave的精度更好一點(diǎn),仿真速度也還行。這種精度的追求,讓其操作上比較麻煩,可配置的參數(shù)很多,操作上不友好,就個人來講,開慣了自動車,上了手動擋,很不習(xí)慣。之前也用過一段時間,后棄之,現(xiàn)在又得操作起來了。

算法部分

對于仿真軟件,之前只知道2D、2.5D、3D的分類和區(qū)別。寫這篇文字的時候,突然對內(nèi)里的算法很感興趣。了解了一下,想來我們只是一個使用者,倒是這些推動了算法進(jìn)步的人,更值得尊敬。算法太過高深,種類也比較多,節(jié)選三種常用的。

矩量法(MoM)、時域有限差分(FDTD)法、有限元法(FEM)……

MoM將導(dǎo)體分成小尺寸單元,通過計算所有導(dǎo)體單元上的電流(常數(shù)),得到所有導(dǎo)體電流單元總體產(chǎn)生的電磁場;

FDTD將仿真對象對應(yīng)的空間區(qū)域分割成小尺寸的體積元,假設(shè)各體積元內(nèi)的場為常數(shù)。通常使用脈沖作為激勵函數(shù),模型可得到寬帶響應(yīng);

FEM將空氣和其他所有材質(zhì)分割成小尺寸單元,假設(shè)每個單元內(nèi)部的場為常數(shù),使用變分技術(shù)求解麥克斯韋方程組;

ADS以矩量法(MOM)為主,當(dāng)然在某些方面就會融入其他的算法,以達(dá)到互補(bǔ)。SIwave就是有限元法和矩量混合算法。以精準(zhǔn)度著稱的HFSS就是融合了有限元算法,IE算法,PO高頻算法等。所以,現(xiàn)有的仿真軟件也是各種融合,取人之長補(bǔ)己之短。

3、工作應(yīng)用

關(guān)于軟件在實際工作中的應(yīng)用,總結(jié)起來就兩句:

前仿真:會針對有風(fēng)險的鏈路進(jìn)行前仿,進(jìn)行風(fēng)險管控。

后仿真:針對客戶需求,提供相關(guān)的仿真報告。

pYYBAGL6-AeAZ63LAABJ3L9nPzE532.png



審核編輯:劉清


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 信號線
    +關(guān)注

    關(guān)注

    2

    文章

    166

    瀏覽量

    21394
  • 仿真軟件
    +關(guān)注

    關(guān)注

    21

    文章

    234

    瀏覽量

    30302
  • ADS1220
    +關(guān)注

    關(guān)注

    24

    文章

    488

    瀏覽量

    125135
  • GND
    GND
    +關(guān)注

    關(guān)注

    2

    文章

    527

    瀏覽量

    38604
收藏 人收藏

    評論

    相關(guān)推薦

    單片機(jī)考題經(jīng)典動畫播放。

    單片機(jī)考題經(jīng)典動畫播放,值得收藏!
    發(fā)表于 08-22 11:12

    最新orCAD軟件文章加載中(于博士信號完整性)

    于博士最新推出orCAD軟件文章,叫你快速運(yùn)用orCAD軟件,提前了解使用中遇到的問題。幫你快速學(xué)習(xí)。可關(guān)注于博士信號完整性微信公眾號zdcx007 了解文章公眾號上還有很多技術(shù)文
    發(fā)表于 02-10 17:00

    以藍(lán)牙音箱為案例的PCB設(shè)計軟件

    PCB設(shè)計軟件allegro藍(lán)牙音箱案例講解,以藍(lán)牙音箱為案例將PCB設(shè)計基礎(chǔ)知識融進(jìn)實際案例中,通過操作過程講解PCB設(shè)計軟件功能及實用經(jīng)驗技巧,全文著重講解轉(zhuǎn)換板框方法及手動添
    發(fā)表于 07-27 11:24

    Altium18軟件、Altium19預(yù)覽及PCB設(shè)計學(xué)習(xí)思路方法詳解

    直播觀眾將獲得哪些知識點(diǎn):一、Altium1 8軟件常見問答講解1、Keepout層屬性說明2、Active Route的自動布線3、X-signals的使用4、你問我答10個AD1 8二
    發(fā)表于 09-29 20:46

    2020年電工考試及電工考試視頻

    題庫來源:安全生產(chǎn)模擬考試一點(diǎn)通公眾號小程序2020年電工(初級)考試及電工(初級)考試視頻,包含電工(初級)考試答案和解析及電工(初級)考試視頻練習(xí)。由安全生產(chǎn)模擬考試一點(diǎn)通
    發(fā)表于 06-29 09:05

    工業(yè)機(jī)器人打磨去毛刺工作站介紹

    ZNL-DM06型 工業(yè)機(jī)器人打磨去毛刺工作站一、概述ZNL-DM06型 工業(yè)機(jī)器人打磨去毛刺工作站以真實工廠應(yīng)用要求為基礎(chǔ),優(yōu)化功能設(shè)計,提高系統(tǒng)靈活度,融入
    發(fā)表于 07-01 09:12

    電工操作

    ZN-890Q電工操作柜(單面雙組型)一、概述ZN-890Q電工操作柜(單面雙組型)由鋼板作制作的多功能安裝板(網(wǎng)孔板)訓(xùn)臺和電源
    發(fā)表于 07-02 07:14

    工業(yè)機(jī)器人焊接工作站分享

    ZNL-HJ06型 工業(yè)機(jī)器人焊接工作站一、概述ZNL-HJ06型 工業(yè)機(jī)器人焊接工作站主要開展焊接技術(shù)培訓(xùn),可滿足學(xué)生動手能力的強(qiáng)化訓(xùn)練,還可作為高級工、技師能力提升的
    發(fā)表于 07-02 06:55

    基于MATLAB的發(fā)電機(jī)仿真實驗相關(guān)資料分享

    《基于MATLAB的發(fā)電機(jī)仿真實驗【借鑒】》由會員分享,可在線閱讀,更多相關(guān)《基于MATLAB的發(fā)電機(jī)仿真實驗【借鑒
    發(fā)表于 07-06 06:49

    電工操作柜(單面雙組型)有哪些技術(shù)指標(biāo)

    ZN-890Q電工操作柜(單面雙組型)是什么?ZN-890Q電工操作柜(單面雙組型)有哪些技術(shù)指標(biāo)?
    發(fā)表于 09-27 08:36

    工業(yè)機(jī)器人焊接工作站有哪些特點(diǎn)

    ZNL-HJ06型工業(yè)機(jī)器人焊接工作站是什么?ZNL-HJ06型工業(yè)機(jī)器人焊接工作站有哪些特點(diǎn)?
    發(fā)表于 09-28 07:39

    IIC模塊的類型是什么

    **52單片機(jī)學(xué)習(xí)之路(1)IIC模塊的理解與類型**1. IIC模塊程序void IIC_Start(void); **IIC總線開始信號**void IIC_Stop(void
    發(fā)表于 12-02 06:09

    電工訓(xùn)深入經(jīng)典仿真軟件

    電子發(fā)燒友網(wǎng)站提供《電工訓(xùn)深入經(jīng)典仿真軟件.rar》資料免費(fèi)下載
    發(fā)表于 05-27 00:53 ?24次下載

    無線路由器設(shè)置步驟詳解

    無線路由器設(shè)置步驟詳解
    發(fā)表于 06-24 17:13 ?3565次閱讀

    【RK3399案例資料包】

    RK3399案例資料包
    發(fā)表于 06-25 11:52 ?178次下載