0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

散熱概念增強(qiáng)了DRAM內(nèi)存子系統(tǒng)設(shè)計

星星科技指導(dǎo)員 ? 來源:嵌入式計算設(shè)計 ? 作者:Phan Hoang ? 2022-10-24 11:04 ? 次閱讀

存儲器設(shè)計人員可以使用一系列簡單但功能強(qiáng)大的熱概念來減輕熱量并設(shè)計更好的存儲器子系統(tǒng)。

控制與CPU相關(guān)的散熱問題通常是嵌入式系統(tǒng)設(shè)計人員的首要任務(wù)。但是,內(nèi)存模塊并不一定不那么重要。熱管理問題在嵌入式環(huán)境中提出了具有挑戰(zhàn)性的設(shè)計考慮因素,需要知識、精度和創(chuàng)造力來診斷和克服存儲器子系統(tǒng)設(shè)計參數(shù)。

過去,內(nèi)存并不像設(shè)計人員對CPU那樣復(fù)雜,也不需要像設(shè)計人員那樣給予熱關(guān)注。由于CPU需要冷卻,芯片組配備了散熱器作為生產(chǎn)標(biāo)準(zhǔn)。相比之下,內(nèi)存模塊只需要輕微的氣流調(diào)整即可控制溫度。但是,隨著 DDR3 和 DDR4 技術(shù)在當(dāng)今 ??s 嵌入式設(shè)計中的速度不斷提高,內(nèi)存模塊設(shè)計非常復(fù)雜,也需要熱關(guān)注。

時鐘速度只是內(nèi)存運(yùn)行比以往更熱的原因之一??蛻舡h(huán)境、整體設(shè)計選擇(如內(nèi)存模塊在電路板上的 ?? 位置、水平或垂直模塊方向)以及系統(tǒng)上的氣流量也會影響內(nèi)存模塊的 ?? 熱狀況。

嵌入式系統(tǒng)設(shè)計人員通常使用緊湊的電路板布局,需要近乎完美的工程設(shè)計才能實(shí)現(xiàn)完美的信號完整性和極致的性能。雖然存在其他設(shè)計問題,但成功的系統(tǒng)設(shè)計人員將內(nèi)存熱管理視為更高級別的設(shè)計問題,以跟上不斷發(fā)展的內(nèi)存技術(shù)和熱管理技術(shù),以減少內(nèi)存模塊中的熱量。

存儲器設(shè)計人員可以使用一系列簡單但功能強(qiáng)大的熱概念來減輕熱量并設(shè)計更好的存儲器子系統(tǒng)。同樣,系統(tǒng)設(shè)計人員可以在創(chuàng)建設(shè)計時通過整合這些概念來增強(qiáng)產(chǎn)品。

熱火正開啟

存儲器設(shè)計人員首先選擇可減輕熱量并提供最佳整體散熱方案的存儲器模塊。將使用最少 DRAM 的模塊整合到最多數(shù)量的模塊等級中,可以實(shí)現(xiàn)所需的模塊密度并有效管理電源。待機(jī)模式下的 DRAM 越多,模塊消耗的功率就越少 - 通常通過使用具有最寬數(shù)據(jù)總線的 DRAM 來實(shí)現(xiàn),如表 1 所示。例如,36 芯片四列 x8 DIMM 比 36 芯片兩列 x4 DIMM 使用更少的功率。

圖 1

poYBAGNWANyAPDPeAAEGTQpJaT0551.png

再舉一個例子,一個512 MB的糾錯碼DIMM可以使用五個64x16 DRAM芯片,而不是九個64x8 DRAM,從而減少44%的熱量。由于數(shù)據(jù)表中為 64x16 和 64x8 DRAM 指定的 IDD 值的差異,實(shí)際減少量可能會略小。內(nèi)存設(shè)計人員通常會探索內(nèi)存控制器芯片組是否可以支持更寬的 DRAM 數(shù)據(jù)總線寬度。

總體而言,在非堆疊或沒有大型熱半導(dǎo)體的DRAM之間適當(dāng)間隔的內(nèi)存模塊將具有更好的熱特性。小型存儲器,如堆疊式超薄型存儲器或堆疊式 SODIMM,具有更高的功率密度(瓦特/面積),需要特殊考慮冷卻。由于板載高級內(nèi)存緩沖器,完全緩沖的 DIMM 也具有高功率密度,并且可能需要額外的冷卻輔助或氣流。

系統(tǒng)與內(nèi)存

傳感器是存儲器設(shè)計人員的關(guān)鍵工具。JEDEC‘??s標(biāo)準(zhǔn)規(guī)定,內(nèi)存模塊具有熱傳感器,可為用戶提供監(jiān)控和觸發(fā)機(jī)制,根據(jù)溫度波動調(diào)整系統(tǒng)性能。

根據(jù)定義的參數(shù),系統(tǒng)可以發(fā)出擴(kuò)展模式寄存器設(shè)置命令,該命令將在+85?∞C的觸發(fā)溫度下將DDR2 DRAM上的內(nèi)部刷新率加倍至32毫秒(tREFI = 3.9微秒),以將DRAM工作溫度擴(kuò)展到+95?∞C。如果該功能不可用,設(shè)計人員可以在存儲器模塊上集成特殊編程,以擴(kuò)展溫度操作?;蛘?,系統(tǒng)可以使用閉環(huán)動態(tài)溫度節(jié)流和風(fēng)扇速度控制來優(yōu)化內(nèi)存性能。

這里的關(guān)鍵點(diǎn)是CPU管理內(nèi)存板’??s熱傳感器,這表明系統(tǒng)級和板級熱問題密切相關(guān)。系統(tǒng)‘??s BIOS讀取傳感器的輸出,并根據(jù)預(yù)編程的閾值評估性能選項,以確定可接受的溫度范圍。例如,如果內(nèi)存在有限的溫度下運(yùn)行,系統(tǒng)熱量監(jiān)控器會提醒管理員溫度高于定義的閾值,提示他們采取必要的步驟來降低溫度,例如檢查處理器和機(jī)箱風(fēng)扇,解決可能阻塞的任何機(jī)箱氣流通風(fēng)口,或添加另一個機(jī)箱風(fēng)扇。

氣流很重要

氣流是內(nèi)存的一個簡單但關(guān)鍵的問題;主要目標(biāo)是避免將預(yù)熱的空氣直接吹過內(nèi)存子系統(tǒng)。只要有可能,設(shè)計人員就應(yīng)將內(nèi)存子系統(tǒng)放置在處理器的側(cè)面,并置在處理器’??s 散熱器或其他熱組件(如電源或芯片組)產(chǎn)生的暖空氣流之外。環(huán)境進(jìn)氣應(yīng)均勻地流過內(nèi)存子系統(tǒng)和其他熱組件(如處理器)。

模塊之間的氣隙太小可能會從氣流路徑內(nèi)物理受阻的 DIMM 模塊產(chǎn)生氣流背壓。這可能導(dǎo)致氣流壓降沿 DIMM 的側(cè)面,導(dǎo)致氣流減少,或者可能將氣流轉(zhuǎn)移出去或繞過整個內(nèi)存子系統(tǒng)。DIMM 插座從中心到中心的間距應(yīng)為 10 mm 或更大。

通常,最大化氣流會將熱量從內(nèi)存中抽出。如果聲學(xué)噪聲不是問題,設(shè)計人員應(yīng)使用鼓風(fēng)機(jī)或雙風(fēng)扇來優(yōu)化氣流。通過抽取排氣點(diǎn)處的熱空氣可以最好地實(shí)現(xiàn)具有最小壓降的氣流,但也可以通過在進(jìn)氣點(diǎn)將空氣推入來改善。充氣室、管道或護(hù)罩可用于引導(dǎo)和容納通過內(nèi)存子系統(tǒng)的氣流,平行于 DIMM 的最長側(cè)和兩側(cè)流動。這些外殼可能允許較慢的風(fēng)扇速度,噪音較小,并且不會影響氣流。

內(nèi)存模塊可以設(shè)計為允許氣流穿過 DIMM 的短側(cè),從而消除熱量拖過 DIMM 的長側(cè)。這種類型的夾層連接器技術(shù)不會將太多的 DRAM 暴露在來自上游 DRAM 的預(yù)熱空氣中。

如果主板或系統(tǒng)主板平整安裝并垂直于重力線,則內(nèi)存的最佳方向是垂直安裝,因為熱空氣沿著重力線上升。垂直 DIMM 方向可防止熱量被困在內(nèi)存模塊的下部底部。如果無法進(jìn)行垂直安裝,則傾斜安裝 DIMM 方向?qū)⑹芤嬗趩蝹?cè) DIMM,其中 DRAM 組件安裝在頂部。這也適用于平放在系統(tǒng)主板上的內(nèi)存DIMM。

設(shè)計人員應(yīng)選擇具有 DRAM 放置位置的模塊,該模塊不允許所有 DRAM 器件同時在同一側(cè)處于活動狀態(tài)。在內(nèi)存模塊的每一側(cè)交替放置 DRAM 的模塊將均勻地分散 DIMM 周圍的熱量。如果 DIMM 的一側(cè)的氣流受到限制,則僅將 DRAM 放在具有最大氣流的一側(cè)的內(nèi)存模塊在較高溫度下的性能會更好。圖1說明了交替DRAM等級技術(shù)如何減少熱影響。

圖 2

pYYBAGNWAOKAMOuUAAHo0MOhol8264.png

散熱器等

散熱器是放置在內(nèi)存模塊表面的金屬蓋,用于將熱量均勻地分散在表面上,并通過去除局部熱點(diǎn)來平衡表面溫度。散熱器由熱導(dǎo)材料(如銅或鋁)制成,形狀為包裹在內(nèi)存模塊周圍的蛤殼形狀。

如果空間允許,放置在內(nèi)存?zhèn)缺砻婧?或內(nèi)存模塊頂邊緣的散熱器將最大限度地從模塊中抽取熱量。散熱器在不影響氣流的情況下向內(nèi)存模塊增加的額外表面積決定了其整體效果。

導(dǎo)熱PCB和PCB芯也是有效的選擇。這些金屬或碳復(fù)合材料層壓層嵌入到存儲器PCB的結(jié)構(gòu)中,使其比標(biāo)準(zhǔn)FR-4運(yùn)行溫度更低。這些層還通過去除局部熱點(diǎn)(如鎖相環(huán))來均衡元件溫度。通過熱器件下的孔將熱量傳導(dǎo)到磁芯中而產(chǎn)生的許多熱點(diǎn)并不少見。這些磁芯反過來將熱量傳導(dǎo)到模塊的邊緣手指中,并可以帶到PCB的頂部邊緣,使其暴露于散熱器或散熱器中。這種類型的PCB的頂部邊緣具有DIM的內(nèi)部散熱芯,連接到模塊頂部的集成散熱器,從而增加了DIMM的額外高度。

在制造過程中,內(nèi)存模塊可以在運(yùn)行客戶??診斷軟件的客戶??系統(tǒng)中在高溫下進(jìn)行測試。這種主動老化將屏蔽潛在的弱模塊。無源老化(在未通電的模塊上)對篩選出具有弱電池的DRAM沒有影響,因為DRAM電池是基于半導(dǎo)體的電容器,需要不斷充電或刷新以保留二進(jìn)制信息。某些內(nèi)存模塊可使用經(jīng)過篩選的 DRAM,擴(kuò)展工作溫度范圍為 -40 ?∞C ‘? tcase ’?§ +95 ?∞C。這是一個特殊項目,并非所有 DRAM 供應(yīng)商都提供工業(yè)溫度 DRAM 作為商業(yè)溫度 (0 ?∞C‘ - Tcase ’1§ +85? ∞C) 的選件。

熱問題無處不在

熱管理問題隨著內(nèi)存技術(shù)的發(fā)展而發(fā)展,并成為嵌入式系統(tǒng)可靠性和性能的關(guān)鍵。系統(tǒng)設(shè)計人員和內(nèi)存子系統(tǒng)設(shè)計人員之間的設(shè)計動態(tài)也在不斷發(fā)展,可能會影響為耐用性和性能而構(gòu)建的設(shè)計。值得信賴的系統(tǒng)級和板級合作伙伴關(guān)系,以及對與 DRAM 內(nèi)存模塊相關(guān)的當(dāng)前熱概念的更多了解,可以對最終產(chǎn)品的成功產(chǎn)生重大影響。

將 DRAM 內(nèi)存模塊的散熱考慮因素作為經(jīng)過驗證的系統(tǒng)設(shè)計原則的一部分,可以使設(shè)計人員對提高散熱性能的方法有更深入的了解。一般設(shè)計考慮因素和替代散熱選項可以創(chuàng)建一個成功的內(nèi)存子系統(tǒng)設(shè)計,有效地滿足嵌入式環(huán)境中高內(nèi)存帶寬、大內(nèi)存密度、小物理空間和低成本的系統(tǒng)要求。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 控制器
    +關(guān)注

    關(guān)注

    112

    文章

    16037

    瀏覽量

    176693
  • DRAM
    +關(guān)注

    關(guān)注

    40

    文章

    2292

    瀏覽量

    183139
  • 散熱器
    +關(guān)注

    關(guān)注

    2

    文章

    1055

    瀏覽量

    37410
收藏 人收藏

    評論

    相關(guān)推薦

    DRAM存儲器的基本單元

    DRAM(Dynamic Random Access Memory),即動態(tài)隨機(jī)存取存儲器,是現(xiàn)代計算機(jī)系統(tǒng)中不可或缺的內(nèi)存組件。其基本單元的設(shè)計簡潔而高效,主要由一個晶體管(MOSFET)和一個電容組成,這一組合使得
    的頭像 發(fā)表于 09-10 14:42 ?478次閱讀

    影響IGBT功率模塊散熱的因素

    IGBT(絕緣柵雙極型晶體管)功率模塊作為電力電子系統(tǒng)中的核心部件,其散熱問題直接影響到系統(tǒng)的穩(wěn)定性、可靠性和效率。以下是對IGBT功率模塊散熱問題的詳細(xì)分析,包括
    的頭像 發(fā)表于 07-26 17:24 ?535次閱讀

    DRAM芯片的基本結(jié)構(gòu)

    如果內(nèi)存是一個巨大的矩陣,那么DRAM芯片就是這個矩陣的實(shí)體化。如下圖所示,一個DRAM芯片包含了8個array,每個array擁有1024行和256列的存儲單元。
    的頭像 發(fā)表于 07-26 11:41 ?755次閱讀
    <b class='flag-5'>DRAM</b>芯片的基本結(jié)構(gòu)

    DRAM內(nèi)存操作與時序解析

    在數(shù)字時代,DRAM(動態(tài)隨機(jī)存取存儲器)扮演著至關(guān)重要的角色。它們存儲著我們的數(shù)據(jù),也承載著我們的記憶。然而,要正確地操作DRAM并確保其高效運(yùn)行,了解其背后的時序和操作機(jī)制是必不可少的。
    的頭像 發(fā)表于 07-26 11:39 ?550次閱讀
    <b class='flag-5'>DRAM</b><b class='flag-5'>內(nèi)存</b>操作與時序解析

    mesh的內(nèi)存占用能否優(yōu)化?

    我測試到esp_mesh在開啟的情況下,即打開wifi和打開mesh,DRAM會占用大約130kb內(nèi)存。且測試發(fā)現(xiàn)esp32剩余內(nèi)存不足大約60kb的時候系統(tǒng)會重啟。這樣來說300KB
    發(fā)表于 06-28 15:32

    柔性制造系統(tǒng)由哪三個子系統(tǒng)組成

    的出現(xiàn),極大地提高了生產(chǎn)效率和產(chǎn)品質(zhì)量,降低了生產(chǎn)成本,縮短了產(chǎn)品開發(fā)周期,增強(qiáng)了企業(yè)的市場競爭力。FMS主要由三個子系統(tǒng)組成:加工子系統(tǒng)、物料輸送子系統(tǒng)和信息
    的頭像 發(fā)表于 06-11 09:17 ?850次閱讀

    SoC芯片設(shè)計系列-ARM CPU子系統(tǒng)組件介紹

    在ARM架構(gòu)的CPU子系統(tǒng)中,組件設(shè)計旨在高效地整合了多種功能模塊,以支持處理器核心的運(yùn)行、內(nèi)存管理、中斷處理、數(shù)據(jù)交換以及與外部設(shè)備的交互等。
    的頭像 發(fā)表于 05-31 10:56 ?2252次閱讀
    SoC芯片設(shè)計系列-ARM CPU<b class='flag-5'>子系統(tǒng)</b>組件介紹

    使用新的DRAM進(jìn)步來提高嵌入式系統(tǒng)的性能

    DRAM 技術(shù)的進(jìn)步伴隨著多核處理器、新操作系統(tǒng)的出現(xiàn),以及對許多不同計算平臺和應(yīng)用程序(例如服務(wù)器、工作站、大容量存儲系統(tǒng)、超級計算機(jī)、PC 和外圍設(shè)備)的日益不同的要求。隨著從一代內(nèi)存
    發(fā)表于 05-03 10:03 ?214次閱讀
    使用新的<b class='flag-5'>DRAM</b>進(jìn)步來提高嵌入式<b class='flag-5'>系統(tǒng)</b>的性能

    三星2025年后將首家進(jìn)入3D DRAM內(nèi)存時代

    在Memcon 2024上,三星披露了兩款全新的3D DRAM內(nèi)存技術(shù)——垂直通道晶體管和堆棧DRAM。垂直通道晶體管通過降低器件面積占用,實(shí)現(xiàn)性能提升;
    的頭像 發(fā)表于 04-01 15:43 ?510次閱讀

    窗口子系統(tǒng)基本概念與流程分析

    窗口子系統(tǒng)位于 fundationwindowmanager 目錄下,提供對窗口與 Display 管理的基礎(chǔ)能力 概覽 窗口是什么 每個 Ability 在創(chuàng)建時都會創(chuàng)建一個主窗口,并且為該窗口
    的頭像 發(fā)表于 03-05 09:45 ?468次閱讀
    窗口<b class='flag-5'>子系統(tǒng)</b>基本<b class='flag-5'>概念</b>與流程分析

    持久內(nèi)存(PMEM)將成為DRAM的替代者?

    SNIA的Arthur Sainio、Tom Coughlin和Jim Handy表示,如SK海力士和美光研發(fā)的鉿鐵電隨機(jī)存取內(nèi)存,盡管已達(dá)到現(xiàn)代DRAM速度,但目前尚不知曉何種新興內(nèi)存技術(shù)會脫穎而出,從而取代
    的頭像 發(fā)表于 02-22 15:08 ?2417次閱讀

    modustoolbox在正常編譯中通過工程下增強(qiáng)了全局變量數(shù)組的長度后報錯了怎么解決?

    客戶使用modustoolboxdevelpcy8c6245azi-s3D72,在正常編譯中通過工程下增強(qiáng)了全局變量數(shù)組的長度,結(jié)果編譯報導(dǎo)不錯,初始化是內(nèi)存超越了。通過對比發(fā)現(xiàn).bss的大小最小是25292,如附件描述,請幫忙確認(rèn)識別本原因并提供解決方案,謝謝謝謝!
    發(fā)表于 01-25 06:58

    LLW DRAM:AI智能手機(jī)時代的財富密碼

    LLW DRAM作為一種低功耗內(nèi)存,擁有寬I/O、低延遲、每個模塊/堆棧提供了128GB/s的帶寬,與一個128位DDR5-8000內(nèi)存子系統(tǒng)的帶寬相同。
    發(fā)表于 01-17 11:30 ?380次閱讀

    系統(tǒng)內(nèi)存和運(yùn)行內(nèi)存的區(qū)別

    系統(tǒng)內(nèi)存和運(yùn)行內(nèi)存都是計算機(jī)中重要的概念,它們在計算機(jī)的存儲和運(yùn)行方面起著不可或缺的作用。雖然它們與計算機(jī)存儲和運(yùn)行息息相關(guān),但是它們具有不同的功能和實(shí)現(xiàn)方式。接下來我將詳細(xì)介紹
    的頭像 發(fā)表于 01-15 16:32 ?2731次閱讀

    增強(qiáng)GaN/3C-SiC/金剛石結(jié)構(gòu)的散熱性能以適應(yīng)實(shí)際器件應(yīng)用

    熱管理在當(dāng)代電子系統(tǒng)中至關(guān)重要,而金剛石與半導(dǎo)體的集成提供了最有前途的改善散熱的解決方案。
    的頭像 發(fā)表于 12-24 10:03 ?1073次閱讀
    <b class='flag-5'>增強(qiáng)</b>GaN/3C-SiC/金剛石結(jié)構(gòu)的<b class='flag-5'>散熱</b>性能以適應(yīng)實(shí)際器件應(yīng)用