0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA的經(jīng)驗(yàn)分享

FPGA研究院 ? 來(lái)源:FPGA研究院 ? 作者:FPGA研究院 ? 2022-12-09 10:47 ? 次閱讀

IC工業(yè)中有許多不同的領(lǐng)域,IC設(shè)計(jì)者的特征也會(huì)有些不同。在A領(lǐng)域的一個(gè)好的IC設(shè)計(jì)者也許會(huì)花很長(zhǎng)時(shí)間去熟悉B領(lǐng)域的知識(shí)。在我們職業(yè)生涯的開(kāi)始,我們應(yīng)該問(wèn)我們自己一些問(wèn)題,我們想要成為怎樣的IC設(shè)計(jì)者?消費(fèi)?PC外圍?通信微處理器DSP?等等?

IC設(shè)計(jì)的基本規(guī)則和流程是一樣的,無(wú)論啥樣的都會(huì)加到其中。HDL,FPGA和軟件等是幫助我們理解芯片的最好工具。IC的靈魂是知識(shí)。因此我們遇到的第一個(gè)挑戰(zhàn)將是獲得設(shè)計(jì)的相關(guān)信息,然后理解信息并應(yīng)用它。

但是有些信息不是免費(fèi)的,我們需要加入一些協(xié)會(huì)或從如IEEE/ISO等那些組織購(gòu)買一些文檔。設(shè)計(jì)者應(yīng)該有很強(qiáng)的背景知識(shí)來(lái)很快的理解他們,甚至能改進(jìn)存在的標(biāo)準(zhǔn)或。一個(gè)好的設(shè)計(jì)者應(yīng)該應(yīng)該有足夠的設(shè)計(jì)技能和工具應(yīng)用知識(shí)并且不斷的積累他們。

例如:8口以太網(wǎng)轉(zhuǎn)換HUB控制器

需要知識(shí):IEEE802.3標(biāo)準(zhǔn),包括10MHZ以太網(wǎng)和100MHZ快速以太網(wǎng)。

相關(guān)領(lǐng)域:異步傳輸模式(ATM),IEEE802.11無(wú)限局域網(wǎng),IEEE1394,USB等。

HDL,計(jì)算機(jī)仿真和只能解決ASIC設(shè)計(jì)流程的數(shù)字部分。如果在IC中有任何模擬部分,他將依賴模擬設(shè)計(jì)者或從另外的廠家購(gòu)買。甚至一些純數(shù)字部分也能從另外一些廠家購(gòu)買以加速上市時(shí)間。那些不是被我們?cè)O(shè)計(jì)的部分稱為IP,包括HDL代碼,網(wǎng)表,硬核。對(duì)于我們?cè)O(shè)計(jì)的技術(shù)取決于硬核。一些IP是非常貴的,如在USB2.0中的 PHY。一些小的公司沒(méi)有足夠的人力和軟件資源來(lái)完成有些工作,甚至他們不能在缺貨期預(yù)定足夠的晶原,因此涉及服務(wù)公司取代了他們的工作。但并不是每個(gè) IP都滿足我們的需要,有時(shí)我們需要在購(gòu)買后作一些修改。我們要在設(shè)計(jì)前決定所要用到的IPs。

在設(shè)計(jì)開(kāi)始,設(shè)計(jì)者必須理解所有相關(guān)的標(biāo)準(zhǔn)、規(guī)范和算法。但是有許多方法來(lái)應(yīng)用這些規(guī)范和算法。最好的結(jié)構(gòu)是快速和最小芯片尺寸的結(jié)合。不幸的是,快速的需求常常和最小芯片尺寸的需求是對(duì)立的。因此,在HDL編碼工作前規(guī)劃一個(gè)最優(yōu)的結(jié)構(gòu)也是一個(gè)重要的問(wèn)題。

例如:1:除法器

除數(shù)被固定。最快的方法是查表,但是這個(gè)方法需要大的內(nèi)存。我們可以可以從被除數(shù)中不斷的減去除數(shù)直到新的被除數(shù)比除數(shù)小。它會(huì)花更多的時(shí)間但用最少的硬件。還有許多的方法來(lái)構(gòu)建除法器,每種方法都有他自己的優(yōu)點(diǎn)和缺點(diǎn)。

2:圖像處理的動(dòng)態(tài)評(píng)估器

從前一個(gè)圖片中發(fā)現(xiàn)最相似的8×8模塊,在整個(gè)電影剪輯中。最基本的有全搜索和三步搜索的方法。許多的論文已經(jīng)討論過(guò)優(yōu)化硬件復(fù)雜度和速度的結(jié)構(gòu),這里我不再祥解釋。

一個(gè)好的設(shè)計(jì)者應(yīng)該要被實(shí)際經(jīng)驗(yàn)培訓(xùn)和不斷的。我們要在每個(gè)設(shè)計(jì)工作中非常小心和耐心。因?yàn)橐粋€(gè)NRE將會(huì)消耗大量的金錢和數(shù)周的時(shí)間,如果他不小心犯錯(cuò),設(shè)計(jì)者將會(huì)對(duì)金錢和計(jì)劃失敗負(fù)責(zé)。經(jīng)驗(yàn)和小心也許是來(lái)完成一個(gè)成功的設(shè)計(jì)項(xiàng)目最好的方法。

以下條款是一些對(duì)一個(gè)穩(wěn)步的和成功的設(shè)計(jì)的建議:(可能有些朋友也指出了其中的部分,這里只作簡(jiǎn)要說(shuō)明,可能稍有不同)

命名風(fēng)格:

1、不要用關(guān)鍵字做信號(hào)名;

2、不要在中用VERILOG關(guān)鍵字做信號(hào)名;

3、命名信號(hào)用含義;

4、命名I/O口用盡量短的名字;

5、不要把信號(hào)用高和低的情況混合命名;

6、信號(hào)的第一個(gè)字母必須是A-Z是一個(gè)規(guī)則;

7、使模塊名、實(shí)例名和文件名相同;

編碼風(fēng)格:記住,一個(gè)好的代碼是其他人可以很容易閱讀和理解的。

1、盡可能多的增加說(shuō)明語(yǔ)句;

2、在一個(gè)設(shè)計(jì)中固定編碼格式和統(tǒng)一所有的模塊,根從項(xiàng)目領(lǐng)導(dǎo)者定義的格式;

3、把全部設(shè)計(jì)分成適合數(shù)量的不同的模塊或?qū)嶓w;

4、在一個(gè)always/process中的所有信號(hào)必須相關(guān);

5、不要用關(guān)鍵字或一些經(jīng)常被用來(lái)安全綜合的語(yǔ)法;

6、不要用復(fù)雜邏輯;

7、在一個(gè)if語(yǔ)句中的所有條件必須相關(guān);

設(shè)計(jì)風(fēng)格

1、強(qiáng)烈建議用同步設(shè)計(jì);

2、在設(shè)計(jì)時(shí)總是記住時(shí)序問(wèn)題;

3、在一個(gè)設(shè)計(jì)開(kāi)始就要考慮到地電平或高電平復(fù)位、同步或異步復(fù)位、上升沿或下降沿觸發(fā)等問(wèn)題,在所有模塊中都要遵守它;

4、在不同的情況下用if和case;

5、在鎖存一個(gè)信號(hào)或總線時(shí)要小心;

6、確信所有寄存器的輸出信號(hào)能夠被復(fù)位/置位;

7、永遠(yuǎn)不要再寫入之前讀取任何內(nèi)部存儲(chǔ)器(如SRAM

8、從一個(gè)時(shí)鐘到另一個(gè)不同的時(shí)鐘傳輸數(shù)據(jù)時(shí)用數(shù)據(jù)緩沖,他工作像一個(gè)雙時(shí)鐘FIFO;

9、在VHDL中二維數(shù)組可以使用,它是非常有用的。在VERILOG中他僅僅可以使用在測(cè)試模塊中,不能被綜合;

10、遵守register-in register-out規(guī)則;

11、像synopsys的DC的綜合工具是非常穩(wěn)定的,任何bugs都不會(huì)從綜合工具中產(chǎn)生;

12、確保FPGA版本與ASIC的版本盡可能的相似,特別是SRAM類型,若版本一致是最理想的;

13、在嵌入式存儲(chǔ)器中使用BIST;

14、虛單元和一些修正電路是必需的;

15、一些簡(jiǎn)單的測(cè)試電路也是需要的,經(jīng)常在一個(gè)芯片中有許多測(cè)試模塊;

16、除非低功耗不要用門控時(shí)鐘;

17、不要依靠腳本來(lái)保證設(shè)計(jì)。但是在腳本中的一些好的約束能夠起到更好的性能(例如前向加法器);

18、如果時(shí)間充裕,通過(guò)時(shí)鐘做一個(gè)多鎖存器來(lái)取代用MUX;

19、不要用內(nèi)部tri-state, ASIC需要總線保持器來(lái)處理內(nèi)部tri-state;

20、在top level中作pad insertion;

21、選擇pad時(shí)要小心(如上拉能力,施密特觸發(fā)器,5伏耐壓等);

22、小心由時(shí)鐘偏差引起的問(wèn)題;

23、不要試著產(chǎn)生半周期信號(hào);

24、如果有很多函數(shù)要修正,請(qǐng)一個(gè)一個(gè)地作,修正一個(gè)函數(shù)檢查一個(gè)函數(shù);

25、在一個(gè)計(jì)算等式中排列每個(gè)信號(hào)的位數(shù)是一個(gè)好習(xí)慣,即使綜合工具能做;

26、不要使用HDL提供的除法器;

27、削減不必要的時(shí)鐘。它會(huì)在設(shè)計(jì)和布局中引起很多麻煩,大多數(shù)FPGA有1-4個(gè)專門的時(shí)鐘通道;

以上是大家在設(shè)計(jì)中最好遵守的要點(diǎn),它可以使你的設(shè)計(jì)更好。

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600697
  • IC設(shè)計(jì)
    +關(guān)注

    關(guān)注

    37

    文章

    1290

    瀏覽量

    103628
  • 微處理器
    +關(guān)注

    關(guān)注

    11

    文章

    2237

    瀏覽量

    82223

原文標(biāo)題:FPGA牛人的經(jīng)驗(yàn)分享

文章出處:【微信號(hào):FPGA研究院,微信公眾號(hào):FPGA研究院】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    【招聘】verilog vhdl FPGA

    1.熟悉FPGA架構(gòu)及應(yīng)用,熟悉圖像算法的FPGA實(shí)現(xiàn)。 2.熟悉verilog vhdl,熟悉Xilinx或Intel等開(kāi)發(fā)工具。 3.有AI算法 fpga實(shí)現(xiàn)經(jīng)驗(yàn)優(yōu)先。 4.本科及
    發(fā)表于 09-02 15:50

    數(shù)字芯片設(shè)計(jì)驗(yàn)證經(jīng)驗(yàn)分享(第三部分):將ASIC IP核移植到FPGA上——如何確保性能與時(shí)序以完成充滿挑戰(zhàn)的

    本篇文章是SmartDV數(shù)字芯片設(shè)計(jì)經(jīng)驗(yàn)分享系列文章的第三篇,將繼續(xù)分享第五、第六主題,包括確保在FPGA上實(shí)現(xiàn)所需的性能和時(shí)鐘兩個(gè)方面的考量因素。
    的頭像 發(fā)表于 08-26 14:31 ?1576次閱讀
    數(shù)字芯片設(shè)計(jì)驗(yàn)證<b class='flag-5'>經(jīng)驗(yàn)</b>分享(第三部分):將ASIC IP核移植到<b class='flag-5'>FPGA</b>上——如何確保性能與時(shí)序以完成充滿挑戰(zhàn)的

    FPGA的學(xué)習(xí)筆記---FPGA的開(kāi)發(fā)流程

    與通常的單片機(jī)應(yīng)用開(kāi)發(fā)不同,FPGA有自己的開(kāi)發(fā)流程。但具體上怎樣操作,作為初學(xué)者,沒(méi)有一點(diǎn)經(jīng)驗(yàn)。網(wǎng)站獎(jiǎng)勵(lì)的清華FPGA需要的開(kāi)發(fā)軟件,到目前還沒(méi)有安裝成功。暫且先看看相關(guān)學(xué)習(xí),慢慢積累這方面的知識(shí)
    發(fā)表于 06-23 14:47

    FPGA設(shè)計(jì)經(jīng)驗(yàn)之圖像處理

    今天和大俠簡(jiǎn)單聊一聊基于FPGA的圖像處理,之前也和各位大俠聊過(guò)相關(guān)的圖像處理,這里面也超鏈接了幾篇,具體如下: 圖像邊緣檢測(cè)算法體驗(yàn)步驟(Photoshop,Matlab)算法
    發(fā)表于 06-12 16:26

    fpga 工程師應(yīng)該掌握的

    1.熟悉FPGA架構(gòu)及應(yīng)用,熟悉圖像算法的FPGA實(shí)現(xiàn)。 2.熟悉verilog hdl,熟悉Xilinx或Intel等開(kāi)發(fā)工具。 3.有AI算法 fpga實(shí)現(xiàn)經(jīng)驗(yàn)優(yōu)先。 4.本科及以
    發(fā)表于 05-30 17:08

    國(guó)內(nèi)哪家的FPGA適合初學(xué)者

    如題,想著手學(xué)習(xí)FPGA的話,國(guó)內(nèi)哪家的比較好,更適合初學(xué)者學(xué)習(xí)?這方面的經(jīng)驗(yàn),是一點(diǎn)都沒(méi)。
    發(fā)表于 04-14 19:17

    fpga是什么 fpga用什么編程語(yǔ)言

    FPGA(Field-Programmable Gate Array)是一種可編程邏輯技術(shù),它使用可重構(gòu)的硬件單元(如門陣列和查找表)來(lái)實(shí)現(xiàn)電路功能。相比傳統(tǒng)的專用集成電路(ASIC),FPGA具有
    的頭像 發(fā)表于 02-04 15:26 ?1486次閱讀

    從入門到放棄……為什么你會(huì)覺(jué)得FPGA難學(xué)?如何學(xué)習(xí)FPGA

    問(wèn):本人零基礎(chǔ),想學(xué)FPGA,求有經(jīng)驗(yàn)的人說(shuō)說(shuō),我應(yīng)該從哪入手,應(yīng)該看什么教程,應(yīng)該用什么學(xué)習(xí)板和開(kāi)發(fā)板,看什么書等,希望有經(jīng)驗(yàn)的好心人能夠給我一些引導(dǎo)。 如果想速成,那就上網(wǎng)看視頻吧,這樣主要
    的頭像 發(fā)表于 02-02 17:04 ?699次閱讀
    從入門到放棄……為什么你會(huì)覺(jué)得<b class='flag-5'>FPGA</b>難學(xué)?如何學(xué)習(xí)<b class='flag-5'>FPGA</b>?

    FPGA/CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享

    電子發(fā)燒友網(wǎng)站提供《FPGA/CPLD數(shù)字電路設(shè)計(jì)經(jīng)驗(yàn)分享.pdf》資料免費(fèi)下載
    發(fā)表于 11-21 11:03 ?3次下載
    <b class='flag-5'>FPGA</b>/CPLD數(shù)字電路設(shè)計(jì)<b class='flag-5'>經(jīng)驗(yàn)</b>分享

    C開(kāi)發(fā)經(jīng)驗(yàn)及技巧大匯總

    電子發(fā)燒友網(wǎng)站提供《C開(kāi)發(fā)經(jīng)驗(yàn)及技巧大匯總.zip》資料免費(fèi)下載
    發(fā)表于 11-21 09:32 ?0次下載
    C開(kāi)發(fā)<b class='flag-5'>經(jīng)驗(yàn)</b>及技巧大匯總

    #FPGA #電子技術(shù) #物聯(lián)網(wǎng) #FPGA秋招,秋招時(shí)間緊迫FPGA經(jīng)驗(yàn)不足怎么辦?#

    fpga電子技術(shù)
    明德?lián)P助教小易老師
    發(fā)布于 :2023年11月17日 06:53:46

    電源設(shè)計(jì)經(jīng)驗(yàn)50談

    電子發(fā)燒友網(wǎng)站提供《電源設(shè)計(jì)經(jīng)驗(yàn)50談.pdf》資料免費(fèi)下載
    發(fā)表于 11-16 10:04 ?0次下載
    電源設(shè)計(jì)<b class='flag-5'>經(jīng)驗(yàn)</b>50談

    #共建FPGA開(kāi)發(fā)者技術(shù)社區(qū),為FPGA生態(tài)點(diǎn)贊#+2023.11.8+FPGA設(shè)計(jì)的實(shí)踐與經(jīng)驗(yàn)分享

    1.對(duì)輸入輸出進(jìn)行限制,例如可接受的輸入范圍和輸出幅度等 2.將功能拆分為多個(gè)模塊,降低設(shè)計(jì)復(fù)雜度,方便調(diào)試和維護(hù)3.添加注釋和文檔,方便代碼理解和后期維護(hù)。 以上是FPGA設(shè)計(jì)中的一些經(jīng)驗(yàn)和技巧分享,希望能夠?qū)Υ蠹矣兴鶐椭?。?dāng)然,隨著
    發(fā)表于 11-08 15:25

    FPGA是什么?FPGA的工作原理和應(yīng)用

    你是否好奇過(guò)FPGA技術(shù)是如何影響日常使用的設(shè)備的?在當(dāng)今快節(jié)奏的技術(shù)領(lǐng)域中,FPGA變得越來(lái)越重要。FPGA擁有強(qiáng)大的功能和廣泛的應(yīng)用,驅(qū)動(dòng)著現(xiàn)代科技的進(jìn)步。
    的頭像 發(fā)表于 11-02 10:06 ?2901次閱讀

    如何學(xué)習(xí)FPGA?FPGA學(xué)習(xí)必備的基礎(chǔ)知識(shí)

    FPGA已成為現(xiàn)今的技術(shù)熱點(diǎn)之一,無(wú)論學(xué)生還是工程師都希望跨進(jìn)FPGA的大門。網(wǎng)絡(luò)上各種開(kāi)發(fā)板、培訓(xùn)班更是多如牛毛,仿佛在告訴你不懂FPGA你就OUT啦。那么我們要玩轉(zhuǎn)FPGA必須具備
    的頭像 發(fā)表于 10-27 17:43 ?736次閱讀