0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

基于FPGA的模擬I2C協(xié)議系統(tǒng)設計

FPGA技術江湖 ? 來源:FPGA技術江湖 ? 2023-02-03 09:23 ? 次閱讀

導讀

I2C(Inter-Integrated Circuit),其實是I2CBus 簡稱,中文就是集成電路總線,它是一種串行通信總線,使用多主從架構,由飛利浦公司在1980年代為了讓主板、嵌入式系統(tǒng)手機用以連接低速周邊設備而發(fā)展。I2C的正確讀法為“I平方C”("I-squared-C"),而“I二C”("I-two-C")則是另一種錯誤但被廣泛使用的讀法。自2006年10月1日起,使用 I2C 協(xié)議已經(jīng)不需要支付專利費,但制造商仍然需要付費以獲取 I2C 從屬設備地址。

I2C簡單來說,就是一種串行通信協(xié)議,I2C的通信協(xié)議和通信接口在很多工程中有廣泛的應用,如數(shù)據(jù)采集領域的串行 AD,圖像處理領域的攝像頭配置,工業(yè)控制領域的 X 射線管配置等等。除此之外,由于I2C協(xié)議占用的 IO 資源特別少,連接方便,所以工程中也常選用I2C接口做為不同芯片間的通信協(xié)議。I2C串行總線一般有兩根信號線,一根是雙向的數(shù)據(jù)線SDA,另一根是時鐘線SCL。所有接到I2C總線設備上的串行數(shù)據(jù)SDA都接到總線的SDA上,各設備的時鐘線SCL接到總線的SCL上。

在現(xiàn)代電子系統(tǒng)中,有為數(shù)眾多的 IC 需要進行相互之間以及與外界的通信。為了簡化電路的設計,Philips 公司開發(fā)了一種用于內部 IC 控制的簡單的雙向兩線串行總線I2C(Intel-Integrated Circuit bus)。1998 年當推出I2C總線協(xié)議 2.0 版本時,I2C協(xié)議實際上已經(jīng)成為一個國際標準。

在進行 FPGA 設計時,經(jīng)常需要和外圍提供I2C接口的芯片通信。例如低功耗的 CMOS 實時時鐘/日歷芯片 PCF8563、LCD 驅動芯片 PCF8562、并行口擴展芯片 PCF8574、鍵盤/LED 驅動器 ZLG7290 等都提供I2C接口。因此在 FPGA 中模擬I2C接口已成為 FPGA 開發(fā)必要的步驟。

本篇將詳細講解在 FPGA 芯片中使用 VHDL/Verilog HDL 模擬I2C協(xié)議,以及編寫 TestBench仿真和測試程序的方法。

第三篇內容摘要:本篇會介紹程序的仿真與測試,包括主節(jié)點的仿真、從節(jié)點的仿真、仿真主程序、仿真結果以及總結等相關內容。

四、程序的仿真與測試

I2C 協(xié)議的模擬程序完成后,還需要通過仿真程序對程序的功能進行測試。對本程序的仿真包括 3 個部分:第一部分是主節(jié)點的仿真,模擬數(shù)據(jù)讀/寫;第二部分是從節(jié)點的仿真,模擬數(shù)據(jù)的接收和應答;第三部分是仿真主程序,負責整個仿真過程的控制。

4.1 主節(jié)點的仿真

主節(jié)點仿真的內容包括讀數(shù)據(jù)、寫數(shù)據(jù)和比較數(shù)據(jù) 3 部分,代碼如下:

`include "timescale.v"
//模塊定義
module wb_master_model(clk, rst, adr, din, dout, cyc, stb, we, sel, ack, err, rty);
    //參數(shù)
    parameter dwidth = 32;
    parameter awidth = 32;
    
    //輸入、輸出
    input clk, rst;
    output [awidth -1:0] adr;
    input [dwidth -1:0] din;
    output [dwidth -1:0] dout;
    output cyc, stb;
    output we;
    output [dwidth/8 -1:0] sel;
    input ack, err, rty;
    
    //WIRE 定義
    reg [awidth -1:0] adr;
    reg [dwidth -1:0] dout;
    reg cyc, stb;
    reg we;
    reg [dwidth/8 -1:0] sel;
    reg [dwidth -1:0] q;
    
    // 存儲邏輯
    //初始化
    initial
        begin
            adr = {awidth{1'bx}};
            dout = {dwidth{1'bx}};
            cyc = 1'b0;
            stb = 1'bx;
            we = 1'hx;
            sel = {dwidth/8{1'bx}};
            #1;
        end
        
    // 寫數(shù)據(jù)周期
    task wb_write;
        input delay;
        integer delay;
        input [awidth -1:0] a;
        input [dwidth -1:0] d;
        begin
            // 延遲
            repeat(delay) @(posedge clk);
            // 設置信號值
            #1;
            adr = a;
            dout = d;
            cyc = 1'b1;
            stb = 1'b1;
            we = 1'b1;
            sel = {dwidth/8{1'b1}};
            @(posedge clk);
            // 等待從節(jié)點的應答信號
            while(~ack) @(posedge clk);
            #1;
            cyc = 1'b0;
            stb = 1'bx;
            adr = {awidth{1'bx}};
            dout = {dwidth{1'bx}};
            we = 1'hx;
            sel = {dwidth/8{1'bx}};
        end
    endtask
    
    // 讀數(shù)據(jù)周期
    task wb_read;
        input delay;
        integer delay;
        input [awidth -1:0]a;
        output [dwidth -1:0] d;
        begin
            // 延遲
            repeat(delay) @(posedge clk);
            // 設置信號值
            #1;
            adr = a;
            dout = {dwidth{1'bx}};
            cyc = 1'b1;
            stb = 1'b1;
            we = 1'b0;
            sel = {dwidth/8{1'b1}};
            @(posedge clk);
            // 等待從節(jié)點應答信號
            while(~ack) @(posedge clk);
            #1;
            cyc = 1'b0;
            stb = 1'bx;
            adr = {awidth{1'bx}};
            dout = {dwidth{1'bx}};
            we = 1'hx;
            sel = {dwidth/8{1'bx}};
            d = din;
        end
    endtask
    
    // 比較數(shù)據(jù)
    task wb_cmp;
        input delay;
        integer delay;
        input [awidth -1:0] a;
        input [dwidth -1:0] d_exp;
        begin
            wb_read (delay, a, q);
            if (d_exp !== q)
$display("Datacompareerror.Received%h,expected%hattime%t",q,d_exp,$time);
        end
    endtask
endmodule

4.2 從節(jié)點的仿真

從節(jié)點仿真程序需要模擬從主節(jié)點接收數(shù)據(jù),并發(fā)出應答信號,代碼如下:

`include "timescale.v"
//模塊定義
module i2c_slave_model (scl, sda);
    // 參數(shù)
    // 地址
    parameter I2C_ADR = 7'b001_0000;
    
    // 輸入、輸出
    input scl;
    inout sda;
    
    // 變量申明
    wire debug = 1'b1;
    reg [7:0] mem [3:0]; // 初始化內存
    reg [7:0] mem_adr; // 內存地址
    reg [7:0] mem_do; // 內存數(shù)據(jù)輸出
    reg sta, d_sta;
    reg sto, d_sto;
    reg [7:0] sr; // 8 位移位寄存器
    reg rw; // 讀寫方向
    wire my_adr; // 地址
    wire i2c_reset; // RESET 信號
    reg [2:0] bit_cnt;
    wire acc_done; // 傳輸完成
    reg ld;
    reg sda_o;
    wire sda_dly;
    
    // 狀態(tài)機的狀態(tài)定義
    parameter idle = 3'b000;
    parameter slave_ack = 3'b001;
    parameter get_mem_adr = 3'b010;
    parameter gma_ack = 3'b011;
    parameter data = 3'b100;
    parameter data_ack = 3'b101;
    reg [2:0] state;
    
    // 模塊主體
    //初始化
    initial
        begin
            sda_o = 1'b1;
            state = idle;
        end
        
    // 產生移位寄存器
    always @(posedge scl)
        sr <= #1 {sr[6:0],sda};
        
    //檢測到訪問地址與從節(jié)點一致
    assign my_adr = (sr[7:1] == I2C_ADR);
    
    //產生位寄存器
    always @(posedge scl)
        if(ld)
            bit_cnt <= #1 3'b111;
        else
            bit_cnt <= #1 bit_cnt - 3'h1;
            
    //產生訪問結束標志
    assign acc_done = !(|bit_cnt);
    
    // sda 延遲
    assign #1 sda_dly = sda;
    
    //檢測到開始狀態(tài)
    always @(negedge sda)
        if(scl)
            begin
                sta <= #1 1'b1;
                    if(debug)
                        $display("DEBUG i2c_slave; start condition detected at %t", $time);
            end
        else
            sta <= #1 1'b0;
            
    always @(posedge scl)
        d_sta <= #1 sta;
    
    // 檢測到停止狀態(tài)信號
    always @(posedge sda)
        if(scl)
            begin
                sto <= #1 1'b1;
                    if(debug)
                        $display("DEBUG i2c_slave; stop condition detected at %t", $time);
            end
        else
            sto <= #1 1'b0;
            
    //產生 I2C 的 RESET 信號
    assign i2c_reset = sta || sto;
    
    // 狀態(tài)機
    always @(negedge scl or posedge sto)
        if (sto || (sta && !d_sta) )
            begin
                state <= #1 idle; // reset 狀態(tài)機
                sda_o <= #1 1'b1;
                ld <= #1 1'b1;
            end
        else
            begin
            // 初始化
            sda_o <= #1 1'b1;
            ld <= #1 1'b0;
            case(state)
                idle: // idle 狀態(tài)
                    if (acc_done && my_adr)
                        begin
                            state <= #1 slave_ack;
                            rw <= #1 sr[0];
                            sda_o <= #1 1'b0; // 產生應答信號
                            #2;
                            if(debug && rw)
                                $display("DEBUG?i2c_slave;?command?byte?received?(read)?at?%t",$time);
                            if(debug && !rw)
                                $display("DEBUG?i2c_slave;?command?byte?received?(write)?at?%t",$time);
                            if(rw)
                                begin
                                    mem_do <= #1 mem[mem_adr];
                                        if(debug)
                                            begin
                                                #2?$display("DEBUG?i2c_slave;?data?block?read?%x?from address?%x?(1)",?mem_do,?mem_adr);
                                                #2?$display("DEBUG?i2c_slave;?memcheck?[0]=%x,?[1]=%x, [2]=%x",?mem[4'h0],?mem[4'h1],?mem[4'h2]);
                                            end
                                end
                        end
                    slave_ack:
                        begin
                            if(rw)
                                begin
                                    state <= #1 data;
                                    sda_o <= #1 mem_do[7];
                                end
                            else
                                state <= #1 get_mem_adr;
                                ld <= #1 1'b1;
?????????????????????????end????
?????????????????????????
                    get_mem_adr: // 等待內存地址
                        if(acc_done)
                            begin
                                state <= #1 gma_ack;
                                mem_adr <= #1 sr; // 保存內存地址
                                sda_o <= #1 !(sr <= 15); // 收到合法地址信號后發(fā)出應答信號
                            if(debug)
                                #1?$display("DEBUG?i2c_slave;?address?received.?adr=%x,?ack=%b",sr,?sda_o);
                            end
                            
                    gma_ack:
                        begin
                            state <= #1 data;
                            ld <= #1 1'b1;
                        end
                   
                    data: // 接收數(shù)據(jù)
                        begin
                            if(rw)
                                sda_o <= #1 mem_do[7];
                            if(acc_done)
                                begin
                                    state <= #1 data_ack;
                                    mem_adr <= #2 mem_adr + 8'h1;
                                    sda_o <= #1 (rw && (mem_adr <= 15) );
                                if(rw)
                                    begin
                                        #3 mem_do <= mem[mem_adr];
                                        if(debug)
                                            #5?$display("DEBUG?i2c_slave;?data?block?read?%x?from address?%x?(2)",?mem_do,?mem_adr);                                    
????????????????????????????????????end
                                if(!rw)
                                    begin
                                        mem[ mem_adr[3:0] ] <= #1 sr; // store data in memory
                                        if(debug)
                                            #2?$display("DEBUG?i2c_slave;?data?block?write?%x?to address?%x",?sr,?mem_adr);
                                    end
                                end
                            end
                            
                        data_ack:
                            begin
                                ld <= #1 1'b1;
                                if(rw)
                                    if(sda) //
                                        begin
                                            state <= #1 idle;
                                            sda_o <= #1 1'b1;
                                        end
                                    else
                                        begin
                                            state <= #1 data;
                                            sda_o <= #1 mem_do[7];
                                        end
                                    else
                                        begin
                                            state <= #1 data;
                                            sda_o <= #1 1'b1;
                                        end
                                end
                            endcase
                        end
                        
    // 從內存讀數(shù)據(jù)
    always @(posedge scl)
    if(!acc_done && rw)
    mem_do <= #1 {mem_do[6:0], 1'b1};
    
    // 產生三態(tài)
    assign sda = sda_o ? 1'bz : 1'b0;
    
    // 檢查時序
    wire tst_sto = sto;
    wire tst_sta = sta;
    wire tst_scl = scl;
    
    //指定各個信號的上升沿和下降沿
    specify
        specparam normal_scl_low = 4700,
            normal_scl_high = 4000,
            normal_tsu_sta = 4700,
            normal_tsu_sto = 4000,
            normal_sta_sto = 4700,
            fast_scl_low = 1300,
            fast_scl_high = 600,
            fast_tsu_sta = 1300,
            fast_tsu_sto = 600,
            fast_sta_sto = 1300;
        $width(negedge scl, normal_scl_low);
        $width(posedge scl, normal_scl_high);
        $setup(negedge sda &&& scl, negedge scl, normal_tsu_sta); // 開始狀態(tài)信號
        $setup(posedge scl, posedge sda &&& scl, normal_tsu_sto); // 停止狀態(tài)信號
        $setup(posedge tst_sta, posedge tst_scl, normal_sta_sto);
    endspecify
    
endmodule

4.3 仿真主程序

仿真主程序完成主節(jié)點數(shù)據(jù)到從節(jié)點的控制,代碼如下:

`include "timescale.v"
//模塊定義
moduletst_bench_top();
    //連線和寄存器
    reg clk;
    reg rstn;
    wire [31:0] adr;
    wire [ 7:0] dat_i, dat_o;
    wire we;
    wire stb;
    wire cyc;
    wire ack;
    wire inta;
    
    //q 保存狀態(tài)寄存器內容
    reg [7:0] q, qq;
    wire scl, scl_o, scl_oen;
    wire sda, sda_o, sda_oen;
    
    //寄存器地址
    parameter PRER_LO = 3'b000; //分頻寄存器低位地址
    parameter PRER_HI = 3'b001; //高位地址
    parameterCTR=3'b010;//控制寄存器地址,(7)使能位|6中斷使能位|5-0其余保留位
    parameterRXR=3'b011;//接收寄存器地址,(7)接收到的最后一個字節(jié)的數(shù)據(jù)
    parameter TXR = 3'b011; //傳輸寄存器地址,(7)傳輸?shù)刂窌r最后一位為讀寫位,1 為讀
    parameter CR = 3'b100; //命令寄存器地址,

//(7)開始|6結束|5讀|4寫|3應答(作為接收方時,發(fā)送應答信號,“0”為應答,“1”為不應答)|2保留位|1保留位|0中斷應答位,這八位自動清除
parameterSR=3'b100;//狀態(tài)寄存器地址,(7)接收應答位(“0”為接收到應答)|6忙位(產生開始信號后變?yōu)?,結束信號后變?yōu)?)|5仲裁位|4-2保留位|1傳輸中位(1表示正在傳輸數(shù)據(jù),0表示傳輸結束)|中斷標志位
    parameter TXR_R = 3'b101;
    parameter CR_R = 3'b110;
    
    // 產生時鐘信號,一個時間單位為 1ns,周期為 10ns,頻率為 100MHz。
    always #5 clk = ~clk;
    
    //連接 master 模擬模塊
    wb_master_model #(8, 32) u0 (
            .clk(clk), //時鐘
            .rst(rstn), //重起
            .adr(adr), //地址
            .din(dat_i), //輸入的數(shù)據(jù)
            .dout(dat_o), //輸出的數(shù)據(jù)
            .cyc(cyc),
            .stb(stb),
            .we(we),
            .sel(),
            .ack(ack), //應答
            .err(1'b0),
            .rty(1'b0)
        );
    
    //連接 i2c 接口
    i2c_master_top i2c_top (
            //連接到 master 模擬模塊部分
            .wb_clk_i(clk), //時鐘
            .wb_rst_i(1'b0), //同步重起位
            .arst_i(rstn), //異步重起
            .wb_adr_i(adr[2:0]), //地址輸入
            .wb_dat_i(dat_o), //數(shù)據(jù)輸入接口
            .wb_dat_o(dat_i), //數(shù)據(jù)從接口輸出
            .wb_we_i(we), //寫使能信號
            .wb_stb_i(stb), //片選信號,應該一直為高
            .wb_cyc_i(cyc),
            .wb_ack_o(ack), //應答信號輸出到 master 模擬模塊
            .wb_inta_o(inta), //中斷信號輸出到 master 模擬模塊
            
            //輸出的 i2c 信號,連接到 slave 模擬模塊
            .scl_pad_i(scl),
            .scl_pad_o(scl_o),
            .scl_padoen_o(scl_oen),
            .sda_pad_i(sda),
            .sda_pad_o(sda_o),
            .sda_padoen_o(sda_oen)
        );
    
    //連接到 slave 模擬模塊
    i2c_slave_model #(7'b1010_000) i2c_slave (
            .scl(scl),
            .sda(sda)
        );
        
    //為 master 模擬模塊產生 scl 和 sda 的三態(tài)緩沖
    assign scl = scl_oen ? 1'bz : scl_o; // create tri-state buffer for i2c_master scl line
    assign sda = sda_oen ? 1'bz : sda_o; // create tri-state buffer for i2c_master sda line
    
    //上拉
    pullup p1(scl); // pullup scl line
    pullup p2(sda); // pullup sda line
    
    //初始化
    initial
        begin
            $display("
 狀態(tài): %t I2C 接口測試開始!

", $time);
            // 初始值
            clk = 0;
            //重起系統(tǒng)
            rstn = 1'b1; // negate reset
            #2;
            rstn = 1'b0; // assert reset
            repeat(20) @(posedge clk);
            rstn = 1'b1; // negate reset
            $display("狀態(tài): %t 完成系統(tǒng)重起!", $time);
            @(posedge clk);
            // 對接口編程
            // 寫內部寄存器
            // 分頻 100M/100K*5=O'200=h'C8
            u0.wb_write(1, PRER_LO, 8'hc7);
            u0.wb_write(1, PRER_HI, 8'h00);
            $display("狀態(tài): %t 完成分頻寄存器操作!", $time);
            //讀分頻寄存器內容
            u0.wb_cmp(0, PRER_LO, 8'hc8);
            u0.wb_cmp(0, PRER_HI, 8'h00);
            $display("狀態(tài): %t 完成分頻寄存器確認操作!", $time);
            //接口使能
            u0.wb_write(1, CTR, 8'h80);
            $display("狀態(tài): %t 完成接口使能!", $time);
            // 驅動 slave 地址
            // h'a0=b'1010_0000,地址+寫狀態(tài),寫入的地址為 h'50
            u0.wb_write(1, TXR, 8'ha0);
            //命令內容為 b'1001_0000,產生開始位,并設置寫狀態(tài)
            u0.wb_write(0, CR, 8'h90);
            $display("狀態(tài): %t 產生開始位, 然后寫命令 a0(地址+寫),命令開始!", $time);
            // 檢查狀態(tài)位信息
            // 檢查傳輸是否結束
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(0, SR, q);
            $display("狀態(tài): %t 地址驅動寫操作完成!", $time);
            // 待寫的地址為 h'01
            u0.wb_write(1, TXR, 8'h01);
            // 產生寫命令 b'0001_0000
            u0.wb_write(0, CR, 8'h10);
            $display("狀態(tài): %t 待寫地址為 01,命令開始!", $time);
            // 檢查狀態(tài)位
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(0, SR, q);
            $display("狀態(tài): %t 寫操作完成!", $time);
            // 寫入內容
            u0.wb_write(1, TXR, 8'ha5);
            u0.wb_write(0, CR, 8'h10);
            $display("狀態(tài): %t 寫入內容為 a5,開始寫入過程!", $time);
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(1, SR, q);
            $display("狀態(tài): %t 寫 a5 到地址 h'01 中完成!", $time);
            // 寫入下一個地址 5a
            u0.wb_write(1, TXR, 8'h5a); // present data
            // 寫入并停止
            u0.wb_write(0, CR, 8'h50); // set command (stop, write)
            $display("狀態(tài): %t 寫 5a 到下一個地址,產生停止位!", $time);
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(1, SR, q); // poll it until it is zero
            $display("狀態(tài): %t 寫第二個地址結束!", $time);
            // 讀
            // 驅動 slave 地址
            u0.wb_write(1, TXR, 8'ha0);
            u0.wb_write(0, CR, 8'h90);
            $display("狀態(tài): %t 產生開始位,寫命令 a0 (slave 地址+write)", $time);
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(1, SR, q); // poll it until it is zero
            $display("狀態(tài): %t slave 地址驅動完成!", $time);
            // 發(fā)送地址
            u0.wb_write(1, TXR, 8'h01);
            u0.wb_write(0, CR, 8'h10);
            $display("狀態(tài): %t 發(fā)送地址 01!", $time);
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(1, SR, q);
            $display("狀態(tài): %t 地址發(fā)送完成!", $time);
            // 驅動 slave 地址,1010_0001,h'50+read
            u0.wb_write(1, TXR, 8'ha1);
            u0.wb_write(0, CR, 8'h90);
            $display("狀態(tài): %t 產生重復開始位, 讀地址+開始位", $time);
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(1, SR, q);
            $display("狀態(tài): %t 命令結束!", $time);
            // 讀數(shù)據(jù)
            u0.wb_write(1, CR, 8'h20);
            $display("狀態(tài): %t 讀+應答命令", $time);
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(1, SR, q);
            $display("狀態(tài): %t 讀結束!", $time);
            // 檢查讀的內容
            u0.wb_read(1, RXR, qq);
            if(qq !== 8'ha5)
                $display("
 錯誤: 需要的是 a5, received %x at time %t", qq, $time);
            // 讀下一個地址內容
            u0.wb_write(1, CR, 8'h20);
            $display("狀態(tài): %t 讀+ 應答", $time);
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(1, SR, q);
            $display("狀態(tài): %t 第二個地址讀結束!", $time);
            u0.wb_read(1, RXR, qq);
            if(qq !== 8'h5a)
            $display("
 錯誤: 需要的是 5a, received %x at time %t", qq, $time);
            // 讀
            u0.wb_write(1, CR, 8'h20);
            $display("狀態(tài): %t 讀 + 應答", $time);
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(1, SR, q);
            $display("狀態(tài): %t 第三個地址讀完成!", $time);
            u0.wb_read(1, RXR, qq);
            $display("狀態(tài): %t 第三個地址內容是 %x !", $time, qq);
            // 讀
            u0.wb_write(1, CR, 8'h28);
            $display("狀態(tài): %t 讀 + 不應答!", $time);
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(1, SR, q);
            $display("狀態(tài): %t 第四個地址讀完成!", $time);
            u0.wb_read(1, RXR, qq);
            $display("狀態(tài): %t 第四個地址內容為 %x !", $time, qq);
            // 檢查不存在的 slave 地址
            // drive slave address
            u0.wb_write(1, TXR, 8'ha0);
            u0.wb_write(0, CR, 8'h90);
$display("狀態(tài):%t 產生開始位, 發(fā)送命令 a0(slave 地址+寫). 檢查非法地址!",$time);
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(1, SR, q); // poll it until it is zero
            $display("狀態(tài): %t 命令結束!", $time);
            // 發(fā)送內存地址
            u0.wb_write(1, TXR, 8'h10);
            u0.wb_write(0, CR, 8'h10);
            $display("狀態(tài): %t 發(fā)送 slave 內存地址 10!", $time);
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(1, SR, q);
            $display("狀態(tài): %t 地址發(fā)送完畢!", $time);
            // slave 發(fā)送不應答
            $display("狀態(tài): %t 檢查不應答位!", $time);
            if(!q[7])
            $display("
 錯誤: 需要 NACK, 接收到 ACK
");
            // 從 slave 讀數(shù)據(jù)
            u0.wb_write(1, CR, 8'h40);
            $display("狀態(tài): %t 產生'stop'位", $time);
            u0.wb_read(1, SR, q);
            while(q[1])
                u0.wb_read(1, SR, q); // poll it until it is zero
            $display("狀態(tài): %t 結束!", $time);
            #25000; // wait 25us
            $display("

 狀態(tài): %t 測試結束!", $time);
            $finish;
        end
endmodule

4.4 仿真結果

在 ModelSim 中可以看到仿真的結果。如圖 7 所示是發(fā)送開始狀態(tài)并寫地址“a0”時的圖形,此時在圖上表示為 SCL 處于高時 SDA 的一個下降沿,然后是數(shù)據(jù)“1010,0000”。

7e776cac-a345-11ed-bfe3-dac502259ad0.png

圖 7 發(fā)送開始信號并寫地址 a0 如圖 8 所示為發(fā)送數(shù)據(jù)“01”和“a5”時的圖形,在圖上表示為:數(shù)據(jù)“0000,0001”和“1010,0101”。

7e915248-a345-11ed-bfe3-dac502259ad0.png

圖 8 發(fā)送數(shù)據(jù)“01”和“a5” 如圖 9 所示的是發(fā)送停止狀態(tài)信號和數(shù)據(jù)“5a”時的圖形,在圖上表示為 SCL 處于高時SDA 的一個上升沿,然后是數(shù)據(jù)“0101,1010”。

7ea8191a-a345-11ed-bfe3-dac502259ad0.png

圖 9 發(fā)送停止狀態(tài)信號和數(shù)據(jù)“5a” 仿真程序說明I2C程序符合I2C協(xié)議的時序和數(shù)據(jù)格式,可以實現(xiàn)模擬I2C協(xié)議的任務。

五、總結

本篇首先說明了I2C協(xié)議相關的內容,介紹協(xié)議基本概念和數(shù)據(jù)傳輸各個命令的具體含義以及協(xié)議對時序的要求。接下來介紹模擬I2C協(xié)議程序的框架,詳細講解框架中各個模塊的功能并介紹詳細代碼。最后通過一個完成的仿真程序完成對程序的測試。I2C在應用中有著廣泛的用途,本篇希望通過這個例子為各位大俠提供一個可行的解決方案。





審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1624

    文章

    21575

    瀏覽量

    600759
  • 集成電路
    +關注

    關注

    5372

    文章

    11259

    瀏覽量

    359894
  • SDA
    SDA
    +關注

    關注

    0

    文章

    124

    瀏覽量

    28054
  • SCL
    SCL
    +關注

    關注

    1

    文章

    239

    瀏覽量

    17016
  • LCD驅動芯片
    +關注

    關注

    0

    文章

    19

    瀏覽量

    7976

原文標題:往期精選:基于FPGA的模擬 I2C協(xié)議系統(tǒng)設計(附代碼)

文章出處:【微信號:HXSLH1010101010,微信公眾號:FPGA技術江湖】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    基于FPGAI2C 協(xié)議相關的內容

    I2C 簡單來說,就是一種串行通信協(xié)議,I2C的通信協(xié)議和通信接口在很多工程中有廣泛的應用,如數(shù)
    發(fā)表于 08-09 15:30 ?1182次閱讀

    I2C協(xié)議基本概念和數(shù)據(jù)傳輸

    今天給大俠帶來基于FPGA模擬 I2C 協(xié)議設計,由于篇幅較長,分三篇。今天帶來第三篇,下篇,程序的仿真與測試。話不多說,上貨。
    的頭像 發(fā)表于 11-01 09:18 ?2893次閱讀

    FPGAI2C 總線解析 I2C 總線是什么

    FPGA模擬 I2C 接口已成為 FPGA 開發(fā)必要的步驟。I2C
    發(fā)表于 09-29 09:37

    FPGA學習】模擬 I2C 接口程序的基本框架

    模擬 I2C 接口程序的基本框架如圖 4-4 所示。1.程序接口用于和應用程序連接的接口,將應用程序的數(shù)據(jù)按照 I2C
    發(fā)表于 10-09 11:28

    基于 FPGA模擬 I2C協(xié)議設計使用

    今天給大俠帶來基于FPGA模擬 I2C 協(xié)議設計,由于篇幅較長,分三篇。今天帶來第一篇,上篇, I
    發(fā)表于 09-02 19:12

    I2C通訊協(xié)議

    目錄 I2C協(xié)議 I2C 物理層I2C協(xié)議層STM32的硬件I2C軟件
    發(fā)表于 08-11 07:36

    基于 FPGA模擬 I2C協(xié)議系統(tǒng)設計

    今天給大俠帶來基于FPGA模擬 I2C 協(xié)議設計,由于篇幅較長,分三篇。今天帶來第一篇,上篇, I2C 總線解析以及
    發(fā)表于 08-14 18:21

    基于EasyFPGA030的I2C總線接口模塊

    本實驗是基于EasyFPGA030的I2C總線接口模塊設計,用EasyFPGA030開發(fā)套件通過I2C協(xié)議實現(xiàn)對二線制
    發(fā)表于 03-11 15:37 ?29次下載

    I2C總線協(xié)議及其應用

    I2C總線協(xié)議及其應用 一、I2C總線介紹: ---- 由于大規(guī)模集成電路技術的發(fā)展,在單個芯片集成CPU以及組成一個單獨工作系統(tǒng)
    發(fā)表于 02-08 11:23 ?1539次閱讀
    <b class='flag-5'>I2C</b>總線<b class='flag-5'>協(xié)議</b>及其應用

    基于FPGA的多協(xié)議隔離總線信號模擬器設計

    基于FPGA的多協(xié)議隔離總線信號模擬器設計
    發(fā)表于 01-07 19:08 ?0次下載

    關于stm32通信協(xié)議:軟件模擬SPI、軟件模擬I2C的總結(fishing_8)

    趁著幫老師代上嵌入式實驗課的機會,又重新熟悉了一遍stm32的通信協(xié)議:串口協(xié)議、SPI協(xié)議、I2C協(xié)議、RS485
    發(fā)表于 12-05 13:51 ?3次下載
    關于stm32通信<b class='flag-5'>協(xié)議</b>:軟件<b class='flag-5'>模擬</b>SPI、軟件<b class='flag-5'>模擬</b><b class='flag-5'>I2C</b>的總結(fishing_8)

    硬件I2C模擬I2C

    配置;而軟件I2C是沒有寄存器這個概念的。 軟件I2C一般是使用GPIO管腳,用軟件控制SCL,SDA線輸出高低電平,模擬i2c協(xié)議的時序
    發(fā)表于 12-28 19:14 ?81次下載
    硬件<b class='flag-5'>I2C</b>與<b class='flag-5'>模擬</b><b class='flag-5'>I2C</b>

    一文看懂I2C協(xié)議

    電子行業(yè)最常用的3種串行通訊協(xié)議:UART、SPI和I2C。前面介紹了串口通訊協(xié)議及其FPGA實現(xiàn),SPI協(xié)議。本篇文章介紹
    發(fā)表于 01-25 18:32 ?40次下載
    一文看懂<b class='flag-5'>I2C</b><b class='flag-5'>協(xié)議</b>

    基于FPGA模擬 I2C協(xié)議設計

    今天給大俠帶來基于FPGA模擬 I2C 協(xié)議設計,由于篇幅較長,分三篇。今天帶來第三篇,下篇,程序的仿真與測試。話不多說,上貨。
    發(fā)表于 08-09 15:34 ?678次閱讀

    I2C協(xié)議的基礎知識

    本文從I2C協(xié)議的概述開始,描述協(xié)議的歷史、不同速度模式、物理層和數(shù)據(jù)幀結構,最后介紹I2C混合電壓系統(tǒng)中電平兼容性以及上拉電阻大小計算。
    的頭像 發(fā)表于 10-22 15:51 ?113次閱讀
    <b class='flag-5'>I2C</b><b class='flag-5'>協(xié)議</b>的基礎知識