0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

剖析python數(shù)字除法、floor除法

jf_78858299 ? 來源:梯閱線條 ? 作者:小梯子 ? 2023-03-10 10:03 ? 次閱讀

python數(shù)字除法包括真除法(/)和floor除法(//),并且跟python版本相關(guān)。

1.1 python3.0數(shù)字除法

序號(hào) 操作符 描述
1 / 執(zhí)行真除法, 結(jié)果為浮點(diǎn)數(shù) ,不管操作數(shù)類型,商沒有余數(shù)時(shí),小數(shù)部分自動(dòng)為0;商有余數(shù)時(shí),小數(shù)部分為真實(shí)計(jì)算結(jié)果,即按除法除出來是多少就是多少。
2 // 執(zhí)行floor除法。 結(jié)果為比商小的整數(shù)部分(商向下取整) 。如果操作都是整數(shù),則結(jié)果為整數(shù),取商的整數(shù)部分。如果任何1個(gè)操作是浮點(diǎn)數(shù),則結(jié)果為浮點(diǎn)數(shù),取商的整數(shù)部分,小數(shù)部分為0.商為負(fù)數(shù)時(shí),需特別注意,并非截?cái)啵窍蛳氯≌?/td>

示例

# /真除法
>>> 6/2
3.0
>>> 5/2
2.5
>>> 6/2.0
3.0
# //floor除法
>>> 6//2
3
>>> 6//2.0
3.0
>>> 5//2
2
>>> 5//2.0
2.0
# 商為負(fù)數(shù)
>>> 5//-2
-3
>>> 5//-2.0
-3.0
>>> 5/-2
-2.5
>>> 6/-2
-3.0

1.2 floor除法和截?cái)喑ū容^

python數(shù)字的floor除法,是實(shí)際結(jié)果向下取整。

而python的截?cái)嗍侵苯尤?shí)際結(jié)果的整數(shù)部分。

在實(shí)際結(jié)果為正數(shù)時(shí),floor和截?cái)嘈Ч嗤?/p>

在實(shí)際結(jié)果為負(fù)數(shù)的時(shí)候floor除法不是截?cái)?,而是向下取整?/p>

示例

>>> import math
>>> math.floor(2.5)
2
>>> math.trunc(2.5)
2
>>> math.floor(-2.5)
-3
>>> math.trunc(-2.5)
-2
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 浮點(diǎn)數(shù)
    +關(guān)注

    關(guān)注

    0

    文章

    59

    瀏覽量

    15848
  • python
    +關(guān)注

    關(guān)注

    54

    文章

    4759

    瀏覽量

    84298
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    verilog 除法問題

    一個(gè)變量除以一個(gè)常數(shù)81,怎么寫啊 。想直接調(diào)用IP核,可是還沒法控制什么時(shí)候進(jìn)行除法運(yùn)算。又不想自己寫除法器求指點(diǎn)?。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。?/div>
    發(fā)表于 04-16 15:08

    五模除法電路

    用Verilog設(shè)計(jì)五模除法電路。。。可是無知的我連五模除法電路是什么都不知道啊 ?。∏蠖嗄?b class='flag-5'>除法電路的資料?。?!
    發(fā)表于 03-30 10:16

    FPGA怎么實(shí)現(xiàn)除法操作?

    在FPGA中,我們?cè)趺磳?shí)現(xiàn)除法操作?最簡單的方法當(dāng)然是調(diào)IP Core。在Divider Generator的IP Core中,我們可以選擇有/無符號(hào)數(shù)進(jìn)行除法,還可以選擇除法的延遲。當(dāng)然,延遲越小
    發(fā)表于 12-24 16:06

    除法器的設(shè)計(jì)資料分享

    4.3 實(shí)例九 除法器設(shè)計(jì)4.3.1. 本章導(dǎo)讀要求掌握除法器原理,并根據(jù)原理設(shè)計(jì)除法器模塊以及設(shè)計(jì)對(duì)應(yīng)的測試模塊,最后在 Robei可視化仿真軟件經(jīng)行功能實(shí)現(xiàn)和仿真驗(yàn)證。設(shè)計(jì)原理這個(gè)除法
    發(fā)表于 11-12 07:03

    定點(diǎn)DSP除法原理及其TMS320C6000 實(shí)現(xiàn)

    在許多定點(diǎn)DSP芯片中,一般不提供單周期的除法指令;而在實(shí)際應(yīng)用中,又常常要用到除法運(yùn)算,因此如何利用簡單的指令來實(shí)現(xiàn)除法是一個(gè)非常重要的問題。本文對(duì)定點(diǎn)除法算法
    發(fā)表于 04-16 14:03 ?48次下載

    基于高速串行BCD碼除法數(shù)字頻率計(jì)的設(shè)計(jì)

    摘要: 介紹了在PPGA芯片上實(shí)現(xiàn)數(shù)字頻率計(jì)的原理。對(duì)各種硬件除法進(jìn)行了比較,提出了高速串行BCD碼除法的硬件算法,并將其應(yīng)用在頻率計(jì)設(shè)計(jì)中。
    發(fā)表于 06-20 14:48 ?1300次閱讀
    基于高速串行BCD碼<b class='flag-5'>除法</b>的<b class='flag-5'>數(shù)字</b>頻率計(jì)的設(shè)計(jì)

    除法運(yùn)算電路圖

    除法運(yùn)算電路圖
    發(fā)表于 07-20 12:10 ?867次閱讀
    <b class='flag-5'>除法</b>運(yùn)算電路圖

    并行除法器 ,并行除法器結(jié)構(gòu)原理是什么?

    并行除法器 ,并行除法器結(jié)構(gòu)原理是什么?   1.可控加法/減法(CAS)單元    和陣列乘法器非常相似,陣列式除法器也是一種并行運(yùn)算部件,采用大規(guī)模集成
    發(fā)表于 04-13 10:46 ?1.5w次閱讀

    除法器對(duì)數(shù)運(yùn)算電路的應(yīng)用

    除法器對(duì)數(shù)運(yùn)算電路的應(yīng)用 由對(duì)數(shù)電路實(shí)現(xiàn)除法運(yùn)算的數(shù)學(xué)原理是:
    發(fā)表于 04-24 16:07 ?2662次閱讀
    <b class='flag-5'>除法</b>器對(duì)數(shù)運(yùn)算電路的應(yīng)用

    除法電路

    除法電路 圖5.4-21是乘除法運(yùn)算實(shí)用電路之一。 1、A
    發(fā)表于 04-26 16:11 ?1.7w次閱讀
    乘<b class='flag-5'>除法</b>電路

    ARM中用乘法代替除法的優(yōu)化

    FPGA實(shí)現(xiàn)鐵軌檢測算法設(shè)計(jì)_本文將闡述如何用乘法運(yùn)算代替除法運(yùn)算,以及如何使除法的次數(shù)最少化。
    發(fā)表于 10-05 16:37 ?1.1w次閱讀
    ARM中用乘法代替<b class='flag-5'>除法</b>的優(yōu)化

    cpu如何做除法

    計(jì)算機(jī)如何來計(jì)算除法的? 第一步:分析除法 第二步,計(jì)算機(jī)中對(duì)第一步的模擬(真值)
    發(fā)表于 12-31 10:43 ?15次下載

    高效的C編程之除法運(yùn)算

    14.2 除法運(yùn)算 因?yàn)锳RM體系結(jié)構(gòu)本身并不包含除法運(yùn)算硬件,所以在ARM上實(shí)現(xiàn)除法是十分耗時(shí)的。ARM指令集中沒有直接提供除法匯編指令,當(dāng)代碼中出現(xiàn)
    發(fā)表于 10-17 17:22 ?5次下載

    實(shí)例九— 除法器設(shè)計(jì)

    4.3 實(shí)例九 除法器設(shè)計(jì)4.3.1. 本章導(dǎo)讀要求掌握除法器原理,并根據(jù)原理設(shè)計(jì)除法器模塊以及設(shè)計(jì)對(duì)應(yīng)的測試模塊,最后在 Robei可視化仿真軟件經(jīng)行功能實(shí)現(xiàn)和仿真驗(yàn)證。設(shè)計(jì)原理這個(gè)除法
    發(fā)表于 11-07 10:51 ?18次下載
    實(shí)例九— <b class='flag-5'>除法</b>器設(shè)計(jì)

    FPGA基于線性迭代法的除法器設(shè)計(jì)

    FPGA實(shí)現(xiàn)除法的方法有幾種,比如直接用/來進(jìn)行除法運(yùn)算,調(diào)用IP核進(jìn)行除法運(yùn)算,但這兩種方式都有個(gè)共同的問題——都是黑盒子,在進(jìn)行時(shí)序違例處理時(shí),往往不好操作,比如想打打拍改善下時(shí)序都不知從何下手。
    的頭像 發(fā)表于 07-04 10:03 ?1176次閱讀
    FPGA基于線性迭代法的<b class='flag-5'>除法</b>器設(shè)計(jì)