0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

在FPGA設(shè)計(jì)中怎么應(yīng)用ChatGPT?

OpenFPGA ? 來源:OpenFPGA ? 作者:OpenFPGA ? 2023-03-14 10:03 ? 次閱讀

FPGA設(shè)計(jì)中怎么應(yīng)用ChatGPT?

科技即生產(chǎn)力,最近,OpenAI 發(fā)布了 ChatGPT,在各大論壇和許多網(wǎng)站上受到了廣泛關(guān)注,ChatGPT是由 OpenAI 提出的大型預(yù)訓(xùn)練語言模型,使用了許多深度學(xué)習(xí)技術(shù),可以生成文本內(nèi)容,也可以進(jìn)行文本分類、問答等任務(wù)。這么強(qiáng)大的功能,自然會(huì)有很多人想著測(cè)試它的能力,讓它設(shè)計(jì)生成一些“代碼”或者“文章”來了解他的能力,而我注意到很少有人用 Verilog 去試試他的能力,所以今天我們?nèi)ピ囈辉?,最后再看看它未來能為FPGA領(lǐng)域帶來什么。

7b0aa49a-c1a3-11ed-bfe3-dac502259ad0.png

ChatGPT簡(jiǎn)介(來自https://openai.com/blog/chatgpt/)

FPGA工程師在“軟件”方面能力基本都是比較欠缺的,比如一些腳本等,在這方面ChatGPT能否幫助我們彌補(bǔ)這些能力的缺失?

首先我們先簡(jiǎn)單測(cè)試一下GPT的HDL編碼能力

Verilog寫一個(gè)流水燈程序

下面是GPT給的例程:

GPT BEGIN

以下是一個(gè)簡(jiǎn)單的流水燈程序:

moduleshift_register(
inputclk,
inputreset,
outputreg[7:0]led
);

reg[7:0]shift_reg;

initialbegin
shift_reg=8'h01;
end

always@(posedgeclk)begin
if(reset)begin
shift_reg<=?8'h01;
????end?else?begin
????????shift_reg?<=?{shift_reg[6:0],?shift_reg[7]};
????end
end

assign?led?=?shift_reg;

endmodule

該模塊包含一個(gè)8位移位寄存器,通過時(shí)鐘來推進(jìn)并且每個(gè)周期將寄存器向左移動(dòng)一位。模塊還包含一個(gè)復(fù)位信號(hào),當(dāng)復(fù)位信號(hào)為高時(shí),寄存器將重新置為初始狀態(tài),并從第二個(gè)LED燈開始閃爍。最后,將移位寄存器的輸出分配給LED燈。

GPT END

上面就是GPT生成的代碼,效果很好,基本功能實(shí)現(xiàn),但是上面代碼不能綜合,有點(diǎn)小BUG,比較簡(jiǎn)單,大家自己可以試著看看有什么問題。

接下來我們測(cè)試一個(gè)復(fù)雜的代碼:

用Verilog寫一個(gè)HDB3編碼模塊和解碼模塊

GPT BEGIN

以下是一個(gè)簡(jiǎn)單的Verilog代碼實(shí)現(xiàn)HDB3編碼器和解碼器。代碼假定輸入信號(hào)是一個(gè)序列,而不是一個(gè)單獨(dú)的位。

modulehdb3_encoder(inputclk,inputrst,input[7:0]data_in,outputreg[7:0]tx_out);

reg[3:0]polarity;
reg[3:0]zero_count;

always@(posedgeclk)begin
if(rst)begin
tx_out<=?8'b00000000;
????????polarity?<=?4'b0000;
????????zero_count?<=?4'b0000;
????end?else?begin
????????if?(data_in?==?8'b00000000)?begin
????????????zero_count?<=?zero_count?+?1;
????????????if?(zero_count?==?4)?begin
????????????????if?(polarity?==?4'b0011)?begin??//?Positive?pulse
????????????????????tx_out?<=?8'b00000010;
????????????????????polarity?<=?4'b1100;
????????????????end?else?begin??//?Negative?pulse
????????????????????tx_out?<=?8'b00000001;
????????????????????polarity?<=?4'b0011;
????????????????end
????????????????zero_count?<=?0;
????????????end?else?begin
????????????????tx_out?<=?8'b00000000;
????????????end
????????end?else?begin
????????????if?(data_in[7]?==?1)?begin??//?Ones?count?as?positive
????????????????if?(polarity?==?4'b1100)?begin??//?Positive?pulse
????????????????????tx_out?<=?8'b00000010;
????????????????????polarity?<=?4'b0011;
????????????????end?else?begin??//?No?pulse
????????????????????tx_out?<=?data_in;
????????????????????polarity?<=?polarity?+?1;
????????????????end
????????????????zero_count?<=?0;
????????????end?else?begin??//?Zeros?alternate
????????????????if?(polarity?==?4'b0011)?begin??//?Negative?pulse
????????????????????tx_out?<=?8'b00000001;
????????????????????polarity?<=?4'b1100;
????????????????end?else?begin??//?No?pulse
????????????????????tx_out?<=?data_in;
????????????????????polarity?<=?polarity?+?1;
????????????????end
????????????????zero_count?<=?0;
????????????end
????????end
????end
end

endmodule


module?hdb3_decoder(
input?clk,
input?rst,
input?[7:0]?rx_in,
output?reg?[7:0]?data_out,
output?reg?valid
);

reg?[3:0]?polarity;
reg?[3:0]?zero_count;

always?@(posedge?clk)?begin
????if?(rst)?begin
????????data_out?<=?8'b00000000;
????????polarity?<=?4'b0000;
????????zero_count?<=?4'b0000;
????????valid?<=?0;
????end?else?begin
????????if?(rx_in?==?8'b00000000)?begin
????????????zero_count?<=?zero_count?+?1;
????????????if?(zero_count?==?4)?begin
????????????????if?(polarity?==?4'b0011)?begin??//?Positive?pulse
????????????????????data_out?<=?8'b00000000;
????????????????????valid?<=?1;
????????????????end?else?if?(polarity?==?4'b1100)?begin??//?Negative?pulse
????????????????????data_out?<=?8'b00000000;
????????????????????valid?<=?1;
????????????????end
????????????????polarity?<=?4'b0000;
????????????????zero_count?<=?0;
????????????end?else?begin
????????????????data_out?<=?8'b00000000;
????????????????valid?<=?0;
????????????end
????????end?else?begin
????????????if?(rx_in?==?8'b00000010)?begin??//?Positive?pulse
????????????????data_out?<=?8'b00000000;
????????????????valid?<=?1;
????????????????polarity?<=?4'b0011;
????????????????zero_count?<=?0;
????????????end?else?if?(rx_in?==?8'b00000001)?begin??//?Negative?pulse
????????????????data_out?<=?8'b00000000;
????????????????valid?<=?1;
????????????????polarity?<=?4'b1100;
????????????????zero_count?<=?0;
????????????end?else?begin
????????????????data_out?<=?rx_in;
????????????????polarity?<=?polarity?+?1;
????????????????if?(polarity?==?4'b1111)
????????????????????polarity?<=?4'b0000;
????????????????zero_count?<=?0;
????????????????valid?<=?1;
????????????end
????????end
????end
end

endmodule

GPT END

對(duì)于剛接觸這個(gè)行業(yè)的人,上面的代碼足夠給初學(xué)者提供一個(gè)代碼思路,順著這個(gè)思路進(jìn)行修改理解,很快就可以實(shí)現(xiàn)一個(gè)復(fù)雜一點(diǎn)的Verilog代碼。

我們?cè)谑褂肰ivado時(shí)候,TCL也是一個(gè)重要的腳本語言,需要我們熟練掌握,首先我們讓GPT給我們出一個(gè)無GUI創(chuàng)建工程的示例:

GPT BEGIN

Vivado無GUI的TCL工程腳本

以下是一個(gè)簡(jiǎn)單的Vivado無GUI的TCL工程腳本示例:

#設(shè)置工程名和工作目錄
setproject_name"my_project"
setproject_dir"/path/to/project/dir"

#創(chuàng)建工程
create_project$project_name$project_dir-partxcku115-flvb2104-2-e-force

#添加源文件
add_files-norecurse/path/to/source/file1.v
add_files-norecurse/path/to/source/file2.v

#設(shè)置仿真模塊
set_propertysimulator_languageVerilog[current_project]
set_propertytop_modulemy_top_module[current_fileset]

#運(yùn)行綜合
synth_design

#運(yùn)行實(shí)現(xiàn)
implement_design

#導(dǎo)出比特文件
write_bitstream-force/path/to/bitstream_file.bit

這只是一個(gè)基本示例,實(shí)際的腳本可能需要更多的命令和參數(shù)來完成所需的操作。您可以參考Vivado的TCL命令參考手冊(cè)來學(xué)習(xí)更多使用方式。

GPT END

基本命令都是有的,每一個(gè)命令的中文注釋都有,真的方便極了。

此外,還嘗試了寫小作文,爬蟲等都是可以完成一個(gè)完整度較高的答案。

總的來說,ChatGPT 給我留下了深刻的印象,因?yàn)樗梢陨珊偷a,就像正在與辦公室里的另一位工程師交談一樣。不過它的功能也有限。也就是說,它可以在幾分鐘內(nèi)提供一個(gè)很好的開發(fā)起點(diǎn)和一個(gè)可行的框架。

由于GPT的中文訓(xùn)練量遠(yuǎn)遠(yuǎn)不及英文的,所以在這方面會(huì)有一些欠缺,隨著發(fā)展的越來越快,以下是本人覺得的發(fā)展方向:

首先,ChatGPT可以作為一個(gè)問答機(jī)器人,對(duì)設(shè)計(jì)人員的問題進(jìn)行回答。設(shè)計(jì)人員可以向ChatGPT提問關(guān)于FPGA的問題,比如FPGA的官方文檔、產(chǎn)品手冊(cè)、參考設(shè)計(jì)、IP核等內(nèi)容,ChatGPT會(huì)根據(jù)用戶提問的問題提供最佳答案,同時(shí)在一些FPGA大廠進(jìn)行訓(xùn)練后的情景肯定完美。這對(duì)于剛剛接觸FPGA的設(shè)計(jì)人員來說,肯定是一個(gè)非常好的學(xué)習(xí)工具。

其次,ChatGPT可以協(xié)助設(shè)計(jì)人員進(jìn)行設(shè)計(jì)驗(yàn)證和測(cè)試。在設(shè)計(jì)過程中,由于各種器件互相影響,可能會(huì)出現(xiàn)很多問題,如電性能等。ChatGPT可以根據(jù)設(shè)計(jì)人員的需求,給出適當(dāng)?shù)姆椒ê筒襟E,以協(xié)助驗(yàn)證功能、測(cè)試性能和診斷問題。此功能可以幫助設(shè)計(jì)人員提高設(shè)計(jì)質(zhì)量、加快設(shè)計(jì)速度,并避免出現(xiàn)故障。

第三,ChatGPT可以協(xié)助設(shè)計(jì)人員優(yōu)化設(shè)計(jì)流程。設(shè)計(jì)FPGA需要計(jì)算資源和時(shí)間,設(shè)計(jì)人員需要花費(fèi)大量時(shí)間來優(yōu)化設(shè)計(jì),例如電路拓?fù)?、時(shí)序約束等。ChatGPT可以根據(jù)經(jīng)驗(yàn)、文獻(xiàn)和實(shí)踐,為設(shè)計(jì)人員提供優(yōu)化建議,幫助提高設(shè)計(jì)效率和質(zhì)量。

最后,ChatGPT還可以協(xié)助設(shè)計(jì)人員進(jìn)行調(diào)試和維護(hù)工作。FPGA設(shè)計(jì)一旦完成,像其他電路一樣,也需要進(jìn)行維護(hù)和調(diào)試工作,比如在更新版本或漏洞修復(fù)時(shí)。ChatGPT可以為設(shè)計(jì)人員提供有關(guān)這些方面的幫助,從而使他們更好地處理問題。

(上面的回答都是GPT幫我想的....)

對(duì)于我們個(gè)人來說,其實(shí)用GPT作為自己的個(gè)人助手,平時(shí)用來記一些筆記、知識(shí)點(diǎn),都是很完美的“容器”。

總之,ChatGPT作為一款人工智能聊天工具,將來能夠?yàn)镕PGA設(shè)計(jì)人員提供許多便利?;卮饐栴}、協(xié)助設(shè)計(jì)驗(yàn)證和測(cè)試、優(yōu)化設(shè)計(jì)流程、協(xié)助調(diào)試和維護(hù)。這一切都可以幫助設(shè)計(jì)人員提高設(shè)計(jì)質(zhì)量和效率,節(jié)省時(shí)間和成本。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600688
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1339

    瀏覽量

    109880
  • 代碼
    +關(guān)注

    關(guān)注

    30

    文章

    4700

    瀏覽量

    68109
  • OpenAI
    +關(guān)注

    關(guān)注

    9

    文章

    1016

    瀏覽量

    6353
  • ChatGPT
    +關(guān)注

    關(guān)注

    28

    文章

    1536

    瀏覽量

    7270

原文標(biāo)題:在FPGA設(shè)計(jì)中怎么應(yīng)用ChatGPT?

文章出處:【微信號(hào):Open_FPGA,微信公眾號(hào):OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    【國(guó)產(chǎn)FPGA+OMAPL138開發(fā)板體驗(yàn)】(原創(chuàng))6.FPGA連接ChatGPT 4

    = ReceiveFromServer(chatGPT_Server); // 6. FPGA上顯示回復(fù) DisplayAnswer(answer); print(\"FPGA
    發(fā)表于 02-14 21:58

    FPGA設(shè)計(jì)是否可以應(yīng)用ChatGPT生成想要的程序呢

    當(dāng)下AI人工智能崛起,很多開發(fā)領(lǐng)域都可看到ChatGPT的身影,FPGA設(shè)計(jì),是否也可以用ChatGPT輔助設(shè)計(jì)呢?
    發(fā)表于 03-28 23:41

    OpenAI 深夜拋出王炸 “ChatGPT- 4o”, “她” 來了

    當(dāng)?shù)貢r(shí)間5月13日OpenAI推出ChatGPT-4o,代表了人工智能向前邁出的一大步。GPT-4turbo的強(qiáng)大基礎(chǔ)上,這種迭代擁有顯著的改進(jìn)。發(fā)布會(huì)的演示,OpenAI展示
    發(fā)表于 05-27 15:43

    ChatGPT對(duì)話語音識(shí)別

    ChatGPT
    YS YYDS
    發(fā)布于 :2023年05月30日 22:13:10

    IC設(shè)計(jì)/驗(yàn)證怎么應(yīng)用ChatGPT呢?

    ,效果如下。要求: 寫一個(gè)perl腳本,文件名叫test.pl,查找當(dāng)前目錄下包含“abc”字符串的文件,將這些文件備份,備份名稱為原名稱后加“_bak”。備份完后,原有的文件包含“abc”字符串前
    發(fā)表于 02-21 15:16

    科技大廠競(jìng)逐AIGC,中國(guó)的ChatGPT在哪?

    迅速走紅。 進(jìn)入2023年,微軟推新,谷歌入局,“ChatGPT”熱潮迅速升溫,國(guó)內(nèi)的科技巨頭也陸續(xù)涌入潮。ChatGPT發(fā)布后,包括百度、科大訊飛、京東等在內(nèi)的多家企業(yè)紛紛公布自己
    發(fā)表于 03-03 14:28

    DLLFPGA時(shí)鐘設(shè)計(jì)的應(yīng)用

    DLLFPGA時(shí)鐘設(shè)計(jì)的應(yīng)用:ISE集成開發(fā)環(huán)境,用硬件描述語言對(duì)FPGA 的內(nèi)部資源D
    發(fā)表于 11-01 15:10 ?33次下載

    DLL_FPGA時(shí)鐘設(shè)計(jì)的應(yīng)用

    DLL_FPGA時(shí)鐘設(shè)計(jì)的應(yīng)用,主要說明DLL的原理,Xilinx FPGA是怎么實(shí)現(xiàn)的
    發(fā)表于 10-28 14:25 ?1次下載

    ChatGPT多域作戰(zhàn)的應(yīng)用潛力

    快速準(zhǔn)確的威脅評(píng)估:高風(fēng)險(xiǎn)情況下,基于ChatGPT的AI可以分析來自多個(gè)來源的大量數(shù)據(jù),以提供快速準(zhǔn)確的威脅評(píng)估,幫助軍事領(lǐng)導(dǎo)人快速做出規(guī)避風(fēng)險(xiǎn)的決策。
    的頭像 發(fā)表于 02-11 11:03 ?2802次閱讀

    如何ChatGPT構(gòu)建Python解釋器

    這個(gè)故事的靈感來自于一個(gè)類似的故事, ChatGPT 構(gòu)建虛擬機(jī)。我印象深刻并決定嘗試類似的東西,但這次不是 Linux 命令行工具,而是讓 ChatGPT 成為我們的 Pytho
    發(fā)表于 02-13 10:08 ?0次下載
    如何<b class='flag-5'>ChatGPT</b><b class='flag-5'>中</b>構(gòu)建Python解釋器

    chatGPT軟件測(cè)試七大應(yīng)用方式

    ChatGPT技術(shù)歸為AIGC(人工智能產(chǎn)生內(nèi)容),所以我們首先想到 ChatGPT我們的提示下,生成我們需要的測(cè)試想法,幫助我們擴(kuò)展或優(yōu)化測(cè)試思路、測(cè)試場(chǎng)景、測(cè)試數(shù)據(jù)等。如果ChatGPT
    的頭像 發(fā)表于 02-13 11:14 ?4015次閱讀

    ChatGPT智能投顧領(lǐng)域的應(yīng)用

    ,關(guān)于其實(shí)現(xiàn)的探討已有不少。對(duì)其具體場(chǎng)景的應(yīng)用探討不多,本文將探討ChatGPT智能投顧領(lǐng)域應(yīng)用,包括其帶來的變革以及潛在問題。
    發(fā)表于 02-14 09:51 ?2次下載
    <b class='flag-5'>ChatGPT</b><b class='flag-5'>在</b>智能投顧領(lǐng)域的應(yīng)用

    Python里使用ChatGPT

    前言近來 chatGPT 挺火的,也試玩了一下,確實(shí)挺有意思。這里記錄一下 Python 如何去使用 chatGPT 。 本篇文章的實(shí)現(xiàn)100%基于
    發(fā)表于 02-15 10:17 ?2次下載
    <b class='flag-5'>在</b>Python里使用<b class='flag-5'>ChatGPT</b>

    ChatGPT自然語言處理的局限性和挑戰(zhàn)

    隨著人工智能技術(shù)的不斷發(fā)展,自然語言處理已經(jīng)成為人工智能領(lǐng)域中備受矚目的重要研究方向。ChatGPT作為自然語言處理技術(shù)的一種,已經(jīng)自然語言理解和生成方面取得了顯著的成就。然而,盡管如此
    的頭像 發(fā)表于 04-18 16:25 ?1279次閱讀

    ChatGPT 游戲開發(fā)的創(chuàng)新應(yīng)用

    游戲開發(fā)領(lǐng)域,人工智能技術(shù)的應(yīng)用正變得越來越廣泛。ChatGPT,作為一種先進(jìn)的自然語言處理(NLP)模型,為游戲開發(fā)帶來了許多創(chuàng)新的應(yīng)用。 1. 動(dòng)態(tài)對(duì)話系統(tǒng) ChatGPT的強(qiáng)項(xiàng)之一是生成自然
    的頭像 發(fā)表于 10-25 18:05 ?303次閱讀