0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

PSK調(diào)制解調(diào)知識(shí)簡(jiǎn)析

杜勇FPGA ? 來(lái)源:杜勇FPGA ? 2023-03-28 09:23 ? 次閱讀

1 運(yùn)行平臺(tái)

硬件:CRD500數(shù)字信號(hào)處理板

系統(tǒng):win7/64;win7/32;win10/64;win11/64

軟件:Quartus/ModelSimSE/Verilog/Matlab

2 主要功能及性能指標(biāo)

功能:

1)可產(chǎn)生基帶碼元數(shù)據(jù)

2)基帶數(shù)據(jù)的成型濾波器電路

3)產(chǎn)生PSK調(diào)制數(shù)據(jù)

4)完成PSK解調(diào)(載波提取,相干解調(diào))

性能:

調(diào)制端:

1)系統(tǒng)時(shí)鐘:50MHz

2)基帶數(shù)據(jù)速率:390.625kbps

3)基帶成型濾波器成型系數(shù):0.8

4) 載波頻率:390.625kHz

解調(diào)端:

1)采樣頻率:3.125MHz

2)解調(diào)方式:Costas環(huán)提取載波,相干解調(diào)

3 程序結(jié)構(gòu)框圖說(shuō)明

2d0f5212-ccf8-11ed-bfe3-dac502259ad0.png

Psk調(diào)制解調(diào)電路程序主要由基帶信號(hào)生成模塊(pcm.v)、Psk調(diào)制模塊(psk_mod.v)、Costas模塊(Costas.v)組成。

基帶信號(hào)生成模塊(pcm.v)產(chǎn)生碼率為390.625kbps的基帶數(shù)據(jù),送給CRD500擴(kuò)展口觀測(cè)的同時(shí),送給PSK調(diào)制模塊;PSK調(diào)制模塊完成PSK信號(hào)調(diào)制,先對(duì)PCM基帶數(shù)據(jù)進(jìn)行成型濾波,控制傳輸頻帶,再與390.625kHz的載波進(jìn)行相乘,完成PSK調(diào)制;PSK調(diào)制數(shù)據(jù)經(jīng)CRD500板上的DA、濾波、運(yùn)放模塊送BNC測(cè)試口的同時(shí),經(jīng)板上短接線回至AD通道,經(jīng)AD采樣后回送至FPGA,由Costas模塊完成相干載波提取的同時(shí)完成數(shù)據(jù)解調(diào);解調(diào)后的數(shù)據(jù)送至CRD500的擴(kuò)展口用于示波器觀測(cè)。

CRD500板上AD/DA接口有多種設(shè)置方式,如圖2所示。

2d3bbab4-ccf8-11ed-bfe3-dac502259ad0.png

本次工程實(shí)例采用圖2中的模式1連接方式。如果采用圖2中的第2種模式,則需要采用雙頭BNC線將AD接口與DA接口連接起來(lái)。

4 測(cè)試說(shuō)明

在測(cè)試過(guò)程中可以發(fā)現(xiàn),上電后下載程序,輸入信號(hào)及本地載波信號(hào)能夠快速完成同步,數(shù)據(jù)解調(diào)正常。當(dāng)按下key1鍵,使得costas環(huán)路無(wú)輸入信號(hào),則載波無(wú)法同步,無(wú)法解調(diào)正確,松開(kāi)key1鍵后兩路波形又自動(dòng)完成同步且解調(diào)正確。

但如長(zhǎng)時(shí)間按下key1鍵,偶爾會(huì)出現(xiàn)即使松開(kāi)key1鍵后,兩路信號(hào)仍不同步且解調(diào)不正確的情況,這是由于在環(huán)路沒(méi)有輸入信號(hào)時(shí),始終處于搜索狀態(tài),環(huán)路可能出現(xiàn)“死循環(huán)”或“跑飛”的現(xiàn)象,這時(shí)只要按下復(fù)位鍵,則兩路信號(hào)又會(huì)迅速同步,完成正常的解調(diào)。

本電路僅提供驗(yàn)證環(huán)路在上電或復(fù)位后完成同步鎖定及解調(diào)的功能驗(yàn)證,不提供防止環(huán)路“跑飛”的功能程序,請(qǐng)用戶自行完成防“跑飛”的功能程序。







審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 濾波器
    +關(guān)注

    關(guān)注

    159

    文章

    7657

    瀏覽量

    177180
  • 示波器
    +關(guān)注

    關(guān)注

    113

    文章

    6145

    瀏覽量

    184046
  • PSK
    PSK
    +關(guān)注

    關(guān)注

    1

    文章

    27

    瀏覽量

    20957
  • BNC接頭
    +關(guān)注

    關(guān)注

    0

    文章

    12

    瀏覽量

    7435

原文標(biāo)題:PSK調(diào)制解調(diào)(Quartus/Verilog/CRD500)

文章出處:【微信號(hào):杜勇FPGA,微信公眾號(hào):杜勇FPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    PSK的相干解調(diào)怎么恢復(fù)載波?

    PSK的相干解調(diào)怎么恢復(fù)載波?
    發(fā)表于 01-03 14:57

    8PSK全數(shù)字解調(diào)技術(shù)的實(shí)現(xiàn)

    Altera公司的QuartusⅡ環(huán)境下,用VHDL語(yǔ)言實(shí)現(xiàn)了8PSK全數(shù)字解調(diào)系統(tǒng)設(shè)計(jì)。最后在PCB板上利用Stratix Ⅱ芯片實(shí)現(xiàn),并結(jié)合8PSK調(diào)制器進(jìn)行功能驗(yàn)證,得到滿意的結(jié)
    發(fā)表于 04-23 11:16

    QPSK信號(hào)的調(diào)制解調(diào)及其誤碼率的仿真

    附件中有3個(gè)matlab的.m文件,是實(shí)現(xiàn)2psk信號(hào)的調(diào)制解調(diào)及其誤碼率的仿真。一個(gè)主文件,2個(gè)子文件。現(xiàn)在要求更改點(diǎn)東西實(shí)現(xiàn)4psk信號(hào)的調(diào)制
    發(fā)表于 06-10 15:20

    2psk 調(diào)制解調(diào)是用 simulink 做呢還是 用matlab程序做?

    老師給的一道題目:要求 1實(shí)現(xiàn)2psk調(diào)制解調(diào)仿真2 使用相干檢測(cè);3 加入加性高斯白噪聲;4傳輸距離 20KM;5 接收端 信噪比 S/N=2dB 請(qǐng)各位大俠告訴我,我應(yīng)該
    發(fā)表于 10-04 14:36

    2psk 調(diào)制解調(diào)是用 simulink 做呢還是 用matlab程序做?

    老師給的一道題目:要求 1實(shí)現(xiàn)2psk調(diào)制解調(diào)仿真2 使用相干檢測(cè);3 加入加性高斯白噪聲;4傳輸距離 20KM;5 接收端 信噪比 S/N=2dB 請(qǐng)各位大俠告訴我,我應(yīng)該
    發(fā)表于 10-04 14:39

    FPGA的2PSK數(shù)字調(diào)制解調(diào)代碼

    誰(shuí)有基于FPGA的2PSK數(shù)字調(diào)制解調(diào)Verilog代碼,有的發(fā)份我學(xué)習(xí)下,謝啦!QQ:975406162
    發(fā)表于 07-23 19:38

    基于labview的4ASK 4FSK 4PSK 調(diào)制解調(diào)程序 求好人發(fā)個(gè)

    基于labview的4ASK 4FSK 4PSK 調(diào)制解調(diào)程序 求好人發(fā)個(gè)
    發(fā)表于 04-02 19:54

    移相鍵控(PSK/DPSK)調(diào)制解調(diào)實(shí)驗(yàn)

    用單片機(jī)開(kāi)發(fā)版實(shí)現(xiàn) 移相鍵控(PSK/DPSK)調(diào)制解調(diào)實(shí)驗(yàn),有哪位通信大神會(huì)寫(xiě)這個(gè)程序?在線等~~急!?。?!
    發(fā)表于 01-05 22:25

    如何在multisim中仿真實(shí)現(xiàn)FM-GFSK和PM-D8PSK調(diào)制解調(diào)的電路。

    在multisim中仿真實(shí)現(xiàn)FM-GFSK和PM-D8PSK調(diào)制解調(diào)的電路。
    發(fā)表于 04-06 15:08

    請(qǐng)問(wèn)如何基于賽靈思ZC706和AD9361平臺(tái)驗(yàn)***PSK調(diào)制解調(diào)?

    ZC706和AD9361實(shí)現(xiàn)QPSK的調(diào)制解調(diào)的例子,但是那個(gè)是基于Matlab的,我和同學(xué)一起嘗試了下,感覺(jué)不是很靠譜。提問(wèn)就是希望有做過(guò)基于上述開(kāi)發(fā)板的驗(yàn)證工作的前輩們,給點(diǎn)經(jīng)驗(yàn),有沒(méi)有相關(guān)的資料,可以脫離Matlab來(lái)基于ZC706和AD9361來(lái)驗(yàn)***
    發(fā)表于 08-21 10:14

    求一種基于VHDL的4PSK信號(hào)的調(diào)制解調(diào)設(shè)計(jì)方案

    4PSK調(diào)制解調(diào)原理是什么基于VHDL的4PSK信號(hào)的調(diào)制解調(diào)設(shè)計(jì)方案
    發(fā)表于 04-30 06:16

    二相BPSK (DPSK)調(diào)制解調(diào)技術(shù)

    二相BPSK (DPSK)調(diào)制解調(diào)技術(shù)有二相BPSK調(diào)制解調(diào)實(shí)驗(yàn),二相DPSK調(diào)制解調(diào)實(shí)驗(yàn),
    發(fā)表于 03-15 22:41 ?1.8w次閱讀
    二相BPSK (DPSK)<b class='flag-5'>調(diào)制</b><b class='flag-5'>解調(diào)</b>技術(shù)

    DSP之PSK調(diào)制解調(diào)VHDL程序及仿真教程

    DSP之 PSK調(diào)制解調(diào)VHDL程序及仿真教程,很好的DSP自學(xué)資料,快來(lái)學(xué)習(xí)吧。
    發(fā)表于 04-15 17:42 ?15次下載

    DPSK調(diào)制解調(diào)實(shí)驗(yàn)心得

    DPSK調(diào)制解調(diào)電路程序主要由基帶信號(hào)生成模塊(pcm.v)、絕對(duì)碼轉(zhuǎn)相對(duì)碼模塊(Coder.v)、Psk調(diào)制模塊(psk_mod.v)、C
    的頭像 發(fā)表于 03-30 09:26 ?2320次閱讀

    FSK調(diào)制解調(diào)方法簡(jiǎn)

    FSK通信系統(tǒng)主要由基帶數(shù)據(jù)生成模塊(pcm.v)、FSK調(diào)制模塊(fsk_mod.v) 、FSK解調(diào)模塊(fsk_demod.v)和鎖相環(huán)位同步模塊(BitSync.v)組成。
    的頭像 發(fā)表于 03-31 09:07 ?4664次閱讀