0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

偶數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻和小數(shù)分頻詳解

CHANBAEK ? 來源:數(shù)字IC與好好生活的兩居室 ? 作者:除夕之夜啊 ? 2023-03-29 11:38 ? 次閱讀

初學(xué) Verilog 時(shí)許多模塊都是通過計(jì)數(shù)與分頻完成設(shè)計(jì),例如 PWM 脈寬調(diào)制、頻率計(jì)等。而分頻邏輯往往通過計(jì)數(shù)邏輯完成。本節(jié)主要對偶數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻以及小數(shù)分頻進(jìn)行簡單的總結(jié)。

偶數(shù)分頻

采用觸發(fā)器反向輸出端連接到輸入端的方式,可構(gòu)成簡單的 2 分頻電路。

以此為基礎(chǔ)進(jìn)行級聯(lián),可構(gòu)成 4 分頻,8 分頻電路。

電路實(shí)現(xiàn)如下圖所示,用 Verilog 描述時(shí)只需使用簡單的取反邏輯即可。

圖片

如果偶數(shù)分頻系數(shù)過大,就需要使用對分頻系數(shù) N 循環(huán)計(jì)算的方法進(jìn)行分頻。在計(jì)數(shù)達(dá)到分頻系數(shù)中間數(shù)值 N/2 時(shí)進(jìn)行時(shí)鐘翻轉(zhuǎn),可保證分頻后時(shí)鐘的占空比為 50%。因?yàn)槭桥紨?shù)分頻,也可以對分頻系數(shù)中間數(shù)值 N/2 進(jìn)行循環(huán)計(jì)數(shù)。

兩種偶數(shù)分頻的 Verilog 描述如下。

module even_divisor
  # (parameter DIV_CLK = 10 )
    (
    input               rstn ,
    input               clk,
    output              clk_div2,
    output              clk_div4,
    output              clk_div10
    );


   //2 分頻
   reg                  clk_div2_r ;
   always @(posedge clk or negedge rstn) begin
      if (!rstn) begin
         clk_div2_r     <= 'b0 ;
      end
      else begin
         clk_div2_r     <= ~clk_div2_r ;
      end
   end
   assign       clk_div2 = clk_div2_r ;


   //4 分頻
   reg                  clk_div4_r ;
   always @(posedge clk_div2 or negedge rstn) begin
      if (!rstn) begin
         clk_div4_r     <= 'b0 ;
      end
      else begin
         clk_div4_r     <= ~clk_div4_r ;
      end
   end
   assign clk_div4      = clk_div4_r ;


   //N/2 計(jì)數(shù)
   reg [3:0]            cnt ;
   always @(posedge clk or negedge rstn) begin
      if (!rstn) begin
         cnt    <= 'b0 ;
      end
      else if (cnt == (DIV_CLK/2)-1) begin
         cnt    <= 'b0 ;
      end
      else begin
         cnt    <= cnt + 1'b1 ;
      end
   end


   //輸出時(shí)鐘
   reg                  clk_div10_r ;
   always @(posedge clk or negedge rstn) begin
      if (!rstn) begin
         clk_div10_r <= 1'b0 ;
      end
      else if (cnt == (DIV_CLK/2)-1 ) begin
         clk_div10_r <= ~clk_div10_r ;
      end
   end
   assign clk_div10 = clk_div10_r ;
endmodule

testbench 中只需給入激勵(lì)時(shí)鐘等信號即可,這里不再列出。

仿真結(jié)果如下。

圖片

奇數(shù)分頻

奇數(shù)分頻如果不要求占空比為 50%,可按照偶數(shù)分頻的方法進(jìn)行分頻。即計(jì)數(shù)器對分頻系數(shù) N 進(jìn)行循環(huán)計(jì)算,然后根據(jù)計(jì)數(shù)值選擇一定的占空比輸出。

如果奇數(shù)分頻輸出時(shí)鐘的高低電平只差一個(gè) cycle ,則可以利用源時(shí)鐘雙邊沿特性并采用“與操作”或“或操作”的方式將分頻占空比調(diào)整到 50%。

或操作調(diào)整占空比

采用“或操作”產(chǎn)生占空比為 50% 的 3 分頻時(shí)序圖如下所示。

利用源時(shí)鐘上升沿分頻出高電平為 1 個(gè) cycle、低電平為 2 個(gè) cycle 的 3 分頻時(shí)鐘。

利用源時(shí)鐘下降沿分頻出高電平為 1 個(gè) cycle、低電平為 2 個(gè) cycle 的 3 分拼時(shí)鐘。

兩個(gè) 3 分頻時(shí)鐘應(yīng)該在計(jì)數(shù)器相同數(shù)值、不同邊沿下產(chǎn)生,相位差為一個(gè)時(shí)鐘周期。然后將 2 個(gè)時(shí)鐘進(jìn)行“或操作”,便可以得到占空比為 50% 的 3 分頻時(shí)鐘。

圖片

同理,9 分頻時(shí),則需要在上升沿和下降沿分別產(chǎn)生 4 個(gè)高電平、5 個(gè)低電平的 9 分頻時(shí)鐘,然后再對兩個(gè)時(shí)鐘做“或操作”即可。Verilog 描述如下。

module odo_div_or
  #(parameter DIV_CLK = 9)
   (
    input               rstn ,
    input               clk,
    output              clk_div9
    )


   //計(jì)數(shù)器
   reg [3:0]            cnt ;
   always @(posedge clk or negedge rstn) begin
      if (!rstn) begin
         cnt    <= 'b0 ;
      end
      else if (cnt == DIV_CLK-1) begin
         cnt    <= 'b0 ;
      end
      else begin
         cnt    <= cnt + 1'b1 ;
      end
   end


   //在上升沿產(chǎn)生9分頻
   reg                  clkp_div9_r ;
   always @(posedge clk or negedge rstn) begin
      if (!rstn) begin
         clkp_div9_r <= 1'b0 ;
      end
      else if (cnt == (DIV_CLK>>1)-1 ) begin //計(jì)數(shù)4-8位低電平
        clkp_div9_r <= 0 ;
      end
      else if (cnt == DIV_CLK-1) begin //計(jì)數(shù) 0-3 為高電平
        clkp_div9_r <= 1 ;
      end
   end

   //在下降沿產(chǎn)生9分頻
   reg                  clkn_div9_r ;
   always @(negedge clk or negedge rstn) begin
      if (!rstn) begin
         clkn_div9_r <= 1'b0 ;
      end
      else if (cnt == (DIV_CLK>>1)-1 ) begin 
        clkn_div9_r <= 0 ;
      end
      else if (cnt == DIV_CLK-1) begin 
        clkn_div9_r <= 1 ;
      end
   end


   //或操作,往往使用基本邏輯單元庫
   // or (clk_div9, clkp_div9_r, clkn_div9_r) ;
   assign clk_div9 = clkp_div9_r | clkn_div9_r ;


endmodule

仿真結(jié)果如下。

圖片

與操作調(diào)整占空比

采用“與操作”產(chǎn)生占空比為 50% 的 3 分頻時(shí)序圖如下所示。

利用源時(shí)鐘上升沿分頻出高電平為 2 個(gè) cycle、低電平為 1 個(gè) cycle 的 3 分頻時(shí)鐘。

利用源時(shí)鐘下降沿分頻出高電平為 2 個(gè) cycle、低電平為 1 個(gè) cycle 的 3 分拼時(shí)鐘。

兩個(gè) 3 分頻時(shí)鐘應(yīng)該在計(jì)數(shù)器相同數(shù)值、不同邊沿下產(chǎn)生,相位差為一個(gè)時(shí)鐘周期。然后將 2 個(gè)時(shí)鐘進(jìn)行“與操作”,便可以得到占空比為 50% 的 3 分頻時(shí)鐘。

圖片

同理,9 分頻時(shí),則需要在上升沿和下降沿分別產(chǎn)生 5 個(gè)高電平、4 個(gè)低電平的 9 分頻時(shí)鐘,然后再對兩個(gè)時(shí)鐘做“與操作”即可。Verilog 描述如下。

module odo_div_and
   #( parameter DIV_CLK = 9 )
   (
    input               rstn ,
    input               clk,
    output              clk_div9
    );


   //計(jì)數(shù)器
   reg [3:0]            cnt ;
   always @(posedge clk or negedge rstn) begin
      if (!rstn) begin
         cnt    <= 'b0 ;
      end
      else if (cnt == DIV_CLK-1) begin
         cnt    <= 'b0 ;
      end
      else begin
         cnt    <= cnt + 1'b1 ;
      end
   end


   //在上升沿產(chǎn)生9分頻
   reg                  clkp_div9_r ;
   always @(posedge clk or negedge rstn) begin
      if (!rstn) begin
         clkp_div9_r <= 1'b0 ;
      end
      else if (cnt == (DIV_CLK>>1) ) begin //計(jì)數(shù)5-8位低電平
        clkp_div9_r <= 0 ;
      end
      else if (cnt == DIV_CLK-1) begin //計(jì)數(shù) 0-4 為高電平
        clkp_div9_r <= 1 ;
      end
   end


   //在下降沿產(chǎn)生9分頻
   reg                  clkn_div9_r ;
   always @(negedge clk or negedge rstn) begin
      if (!rstn) begin
         clkn_div9_r <= 1'b0 ;
      end
      else if (cnt == (DIV_CLK>>1) ) begin 
        clkn_div9_r <= 0 ;
      end
      else if (cnt == DIV_CLK-1) begin 
        clkn_div9_r <= 1 ;
      end
   end


   //與操作,往往使用基本邏輯單元庫
   //and (clk_div9, clkp_div9_r, clkn_div9_r) ;
   assign clk_div9 = clkp_div9_r & clkn_div9_r ;


endmodule

仿真結(jié)果如下。

圖片

半整數(shù)分頻

利用時(shí)鐘的雙邊沿邏輯,可以對時(shí)鐘進(jìn)行半整數(shù)的分頻,但是無論再怎么調(diào)整,半整數(shù)分頻的占空比不可能是 50%。半整數(shù)分頻的方法有很多,這里只介紹一種和計(jì)數(shù)分頻調(diào)整占空比類似的方法。

(1) 例如進(jìn)行 3.5 倍分頻時(shí),計(jì)數(shù)器循環(huán)計(jì)數(shù)到 7,分別產(chǎn)生 4 個(gè)和 3 個(gè)源時(shí)鐘周期的分頻時(shí)鐘。從 7 個(gè)源時(shí)鐘產(chǎn)生了 2 個(gè)分頻時(shí)鐘的角度來看,該過程完成了 3.5 倍的分頻,但是每個(gè)分頻時(shí)鐘并不是嚴(yán)格的 3.5 倍分頻。

(2) 下面對周期不均勻的分頻時(shí)鐘進(jìn)行調(diào)整。一次循環(huán)計(jì)數(shù)中,在源時(shí)鐘下降沿分別產(chǎn)生 4 個(gè)和 3 個(gè)源時(shí)鐘周期的分頻時(shí)鐘。相對于第一次產(chǎn)生的 2 個(gè)周期不均勻的時(shí)鐘,本次產(chǎn)生的 2 個(gè)時(shí)鐘相位一個(gè)延遲半個(gè)源時(shí)鐘周期,一個(gè)提前半個(gè)源時(shí)鐘周期。

(3) 將兩次產(chǎn)生的時(shí)鐘進(jìn)行“或操作”,便可以得到周期均勻的 3.5 倍分頻時(shí)鐘。分頻波形示意圖如下所示。

圖片

3.5 倍時(shí)鐘分頻的 Verilog 描述如下。

module half_divisor(
    input               rstn ,
    input               clk,
    output              clk_div3p5
    );


   //計(jì)數(shù)器
   parameter            MUL2_DIV_CLK = 7 ;
   reg [3:0]            cnt ;
   always @(posedge clk or negedge rstn) begin
      if (!rstn) begin
         cnt    <= 'b0 ;
      end
      else if (cnt == MUL2_DIV_CLK-1) begin //計(jì)數(shù)2倍分頻比
         cnt    <= 'b0 ;
      end
      else begin
         cnt    <= cnt + 1'b1 ;
      end
   end


   reg                  clk_ave_r ;
   always @(posedge clk or negedge rstn) begin
      if (!rstn) begin
         clk_ave_r <= 1'b0 ;
      end
      //first cycle: 4 source clk cycle
      else if (cnt == 0) begin
         clk_ave_r <= 1 ;
      end
      //2nd cycle: 3 source clk cycle
      else if (cnt == (MUL2_DIV_CLK/2)+1) begin
         clk_ave_r <= 1 ;
      end
      else begin
         clk_ave_r <= 0 ;
      end
   end


   //adjust
   reg                  clk_adjust_r ;
   always @(negedge clk or negedge rstn) begin
      if (!rstn) begin
         clk_adjust_r <= 1'b0 ;
      end
      //本次時(shí)鐘只為調(diào)整一致的占空比
      else if (cnt == 1) begin
         clk_adjust_r <= 1 ;
      end
      //本次時(shí)鐘只為調(diào)整一致的精確分頻比
      else if (cnt == (MUL2_DIV_CLK/2)+1 ) begin
         clk_adjust_r <= 1 ;
      end
      else begin
         clk_adjust_r <= 0 ;
      end
   end


   assign clk_div3p5 = clk_adjust_r | clk_ave_r ;
endmodule

仿真結(jié)果如下。

圖片

小數(shù)分頻

基本原理

不規(guī)整的小數(shù)分頻不能做到分頻后的每個(gè)時(shí)鐘周期都是源時(shí)鐘周期的小數(shù)分頻倍,更不能做到分頻后的時(shí)鐘占空比均為 50%,因?yàn)?Verilog 不能對時(shí)鐘進(jìn)行小數(shù)計(jì)數(shù)。和半整數(shù)分頻中第一次分頻時(shí)引入的“平均頻率”概念類似,小數(shù)分頻也是基于可變分頻和多次平均的方法實(shí)現(xiàn)的。

例如進(jìn)行 7.6 倍分頻,則保證源時(shí)鐘 76 個(gè)周期的時(shí)間等于分頻時(shí)鐘 10 個(gè)周期的時(shí)間即可。此時(shí)需要在 76 個(gè)源時(shí)鐘周期內(nèi)進(jìn)行 6 次 8 分頻,4 次 7 分頻。再例如進(jìn)行 5.76 分頻,需要在 576 個(gè)源時(shí)鐘周期內(nèi)進(jìn)行 76 次 6 分頻,24 次 5 分頻。

下面闡述下這些分頻參數(shù)的計(jì)算過程。

當(dāng)進(jìn)行 7 分頻時(shí),可以理解為 70 個(gè)源時(shí)鐘周期內(nèi)進(jìn)行 10 次 7 分頻。在 76 個(gè)源時(shí)鐘周期內(nèi)仍然進(jìn)行 10 次分頻,相當(dāng)于將多余的 6 個(gè)源時(shí)鐘周期增加、分配到 70 個(gè)源時(shí)鐘周期內(nèi),即完成了 7.6 倍分頻操作。分頻過程中必然有 6 個(gè)分頻時(shí)鐘是 8 分頻得到的,剩下的 4 個(gè)分頻時(shí)鐘則仍然會(huì)保持原有的 7 分頻狀態(tài)。

很多地方給出了計(jì)算這些分頻參數(shù)的兩元一次方程組,如下所示。其原理和上述分析一致,建議掌握上述計(jì)算過程。

7N + 8M = 76

N + M = 10

其中 7 為整數(shù)分頻,N 整數(shù)分頻的次數(shù);8 與 M 為整數(shù)加一的分頻系數(shù)及其分頻次數(shù)。

平均原理

以 7.6 倍分頻為例,7 分頻和 8 分頻的實(shí)現(xiàn)順序一般有以下 4 種:

(1) 先進(jìn)行 4 次 7 分頻,再進(jìn)行 6 次 8 分頻;

(2) 先進(jìn)行 6 次 8 分頻,再進(jìn)行 4 次 7 分頻;

(3) 將 4 次 7 分頻平均的插入到 6 次 8 分頻中;

(4) 將 6 次 8 分頻平均的插入到 4 次 7 分頻中。

前兩種方法時(shí)鐘頻率不均勻,相位抖動(dòng)較大,所以一般會(huì)采用后兩種平均插入的方法進(jìn)行小數(shù)分頻操作。

平均插入可以通過分頻次數(shù)差累計(jì)的方法實(shí)現(xiàn),7.6 分頻的實(shí)現(xiàn)過程如下:

(1) 第一次分頻次數(shù)差值為 76-10*7 = 6 < 10,第一次進(jìn)行 7 分頻。

(2) 第二次差值累積結(jié)果為 6+6=12 > 10,第二次使用 8 分頻,同時(shí)差值修改為 12-10=2。

(3) 第三次差值累積結(jié)果為 2+6=8 < 10,第三次使用 6 分頻。

(3) 第四次差值累積結(jié)果為 8+6=14 > 10,第四次使用 8 分頻,差值修改為 14-10=4。

以此類推,完成將 6 次 8 分頻平均插入到 4 次 7 分頻的過程。

下表展示了平均插入法的分頻過程。

分頻次數(shù) 差值累加 差值修改 分頻周期
1 6 6 7
2 6+6=12 2 8
3 2+6=8 8 7
4 8+6=14 4 8
5 4+6=10 0 8
6 6 6 7
7 6+6=12 2 8
8 2+6=8 8 7
9 8+6=14 4 8
10 4+6=10 0 8

設(shè)計(jì)仿真

基于上述分析實(shí)現(xiàn)方法的 Verilog 描述如下。

module frac_divisor
  #(
   parameter            SOURCE_NUM = 76 , //cycles in source clock
   parameter            DEST_NUM   = 10  //cycles in destination clock
   )
   (
    input               rstn ,
    input               clk,
    output              clk_frac
    );

   //7分頻參數(shù)、8分頻參數(shù)、次數(shù)差值
   parameter    SOURCE_DIV = SOURCE_NUM/DEST_NUM ; 
   parameter    DEST_DIV   = SOURCE_DIV + 1; 
   parameter    DIFF_ACC   = SOURCE_NUM - SOURCE_DIV*DEST_NUM ;


   reg [3:0]            cnt_end_r ;  //可變分頻周期
   reg [3:0]            main_cnt ;   //主計(jì)數(shù)器
   reg                  clk_frac_r ; //時(shí)鐘輸出,高電平周期數(shù)為1
   always @(posedge clk or negedge rstn) begin
      if (!rstn) begin
         main_cnt    <= 'b0 ;
         clk_frac_r  <= 1'b0 ;
      end
      else if (main_cnt == cnt_end_r) begin
         main_cnt    <= 'b0 ;
         clk_frac_r  <= 1'b1 ;
      end
      else begin
         main_cnt    <= main_cnt + 1'b1 ;
         clk_frac_r  <= 1'b0 ;
      end
   end
   //輸出時(shí)鐘
   assign       clk_frac        = clk_frac_r ;
   //差值累加器使能控制
   wire         diff_cnt_en     = main_cnt == cnt_end_r ;


   //差值累加器邏輯
   reg [4:0]            diff_cnt_r ;
   wire [4:0]           diff_cnt = diff_cnt_r >= DEST_NUM ?
                                   diff_cnt_r -10 + DIFF_ACC : 
                                   diff_cnt_r + DIFF_ACC ;                                
   always @(posedge clk or negedge rstn) begin
      if (!rstn) begin
         diff_cnt_r <= 0 ;
      end
      else if (diff_cnt_en) begin
         diff_cnt_r <= diff_cnt ;
      end
   end


   //分頻周期變量的控制邏輯
   always @(posedge clk or negedge rstn) begin
      if (!rstn) begin
         cnt_end_r      <= SOURCE_DIV-1 ;
      end
      //差值累加器溢出時(shí),修改分頻周期
      else if (diff_cnt >= 10) begin
         cnt_end_r      <= DEST_DIV-1 ;
      end
      else begin
         cnt_end_r      <= SOURCE_DIV-1 ;
      end
   end


endmodule

仿真結(jié)果如下。

圖片

分頻小結(jié)

偶數(shù)分頻不使用時(shí)鐘雙邊沿邏輯即可完成占空比為 50% 的時(shí)鐘分頻,是最理想的分頻狀況。

奇數(shù)分頻如果要產(chǎn)生 50% 占空比的分頻時(shí)鐘,則需要使用時(shí)鐘的雙邊沿邏輯。如果不要求占空比的話,實(shí)現(xiàn)方法和偶數(shù)分頻類似。

半整數(shù)分頻屬于特殊的小數(shù)分頻,可以用雙邊沿邏輯進(jìn)行設(shè)計(jì)。通過一定邏輯將兩個(gè)雙邊沿時(shí)鐘信號整合為最后的一路輸出時(shí)鐘時(shí),建議不要使用選擇邏輯。因?yàn)槿菀壮霈F(xiàn)毛刺現(xiàn)象,電路中又會(huì)增加一定的不確定性。例如下面描述是不建議的。

assign clk_div3p5 = (cnt == 1 || cnt ==2) ? clk_ave_r 
                                          : clk_adjust_r ;

小數(shù)分頻的基本思想是,輸出時(shí)鐘在一段時(shí)間內(nèi)的平均頻率達(dá)到分頻要求即可。但是考慮到相位抖動(dòng),還需要對可變的分頻邏輯進(jìn)行平均操作。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • PWM
    PWM
    +關(guān)注

    關(guān)注

    114

    文章

    5099

    瀏覽量

    212799
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1335

    瀏覽量

    109844
  • 時(shí)鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1700

    瀏覽量

    131178
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1992

    瀏覽量

    60976
  • 分頻電路
    +關(guān)注

    關(guān)注

    7

    文章

    45

    瀏覽量

    35891
收藏 人收藏

    評論

    相關(guān)推薦

    奇數(shù)分頻如何得到呢? 解讀奇數(shù)分頻和邏輯分析儀(ILA)的使用

    前言: 偶數(shù)分頻容易得到:N倍偶數(shù)分頻,可以通過由待分頻的時(shí)鐘觸發(fā)計(jì)數(shù)器計(jì)數(shù),當(dāng)計(jì)數(shù)器從0計(jì)數(shù)到N/2-1時(shí),輸出時(shí)鐘進(jìn)行翻轉(zhuǎn),并給計(jì)數(shù)器一個(gè)復(fù)位信號,使得下一個(gè)時(shí)鐘從零開始計(jì)數(shù)。以此循環(huán)下去
    的頭像 發(fā)表于 12-28 15:49 ?2880次閱讀

    小數(shù)分頻鎖相環(huán)的工作原理

    議程PLL介紹及小數(shù)分頻鎖相環(huán)的優(yōu)點(diǎn)小數(shù)分頻鎖相環(huán)的錯(cuò)誤使用小數(shù)分頻鎖相環(huán)詳解參考雜散及如何減少雜散總結(jié)
    發(fā)表于 05-28 14:58 ?0次下載

    小數(shù)分頻技術(shù)及其實(shí)現(xiàn)

    給出了一種小數(shù)分頻技術(shù)的實(shí)現(xiàn)方法, 并在實(shí)驗(yàn)的基礎(chǔ)上進(jìn)一步證實(shí)了小數(shù)分頻的可行性該法通過微機(jī)控制,
    發(fā)表于 02-22 14:58 ?45次下載
    <b class='flag-5'>小數(shù)分頻</b>技術(shù)及其實(shí)現(xiàn)

    分頻器的作用是什么 整數(shù)分頻器原理圖分析

    分頻器主要分為偶數(shù)分頻奇數(shù)分頻、整數(shù)分頻小數(shù)分頻,如果在設(shè)計(jì)過程中采用參數(shù)化設(shè)計(jì),就可以隨
    發(fā)表于 02-01 01:28 ?1.6w次閱讀
    <b class='flag-5'>分頻</b>器的作用是什么 <b class='flag-5'>半</b><b class='flag-5'>整數(shù)分頻</b>器原理圖分析

    基于復(fù)雜可編程邏輯器件和VHDL語言實(shí)現(xiàn)整數(shù)分頻器的設(shè)計(jì)

    在數(shù)字系統(tǒng)設(shè)計(jì)中,根據(jù)不同的設(shè)計(jì)需要,經(jīng)常會(huì)遇到偶數(shù)分頻、奇數(shù)分頻整數(shù)分頻等,有的還要求等占空比。在基于cpld(復(fù)雜可編程邏輯器件)的數(shù)字系統(tǒng)設(shè)計(jì)中,很容易實(shí)現(xiàn)由計(jì)數(shù)器或其級聯(lián)構(gòu)
    發(fā)表于 06-26 09:36 ?1010次閱讀
    基于復(fù)雜可編程邏輯器件和VHDL語言實(shí)現(xiàn)<b class='flag-5'>半</b><b class='flag-5'>整數(shù)分頻</b>器的設(shè)計(jì)

    奇數(shù)分頻器的介紹和實(shí)現(xiàn)

    因?yàn)?b class='flag-5'>偶數(shù)分頻器過于簡單,所以我們從奇數(shù)分頻器開始說起8 01 奇數(shù)分頻器 ? ? 假設(shè)我們要實(shí)現(xiàn)一個(gè)2N+1分頻分頻器,就需要高電平占N+
    的頭像 發(fā)表于 03-12 15:44 ?6288次閱讀
    <b class='flag-5'>奇數(shù)分頻</b>器的介紹和實(shí)現(xiàn)

    基于CPLD/FPGA的整數(shù)分頻器設(shè)計(jì)方案

    基于CPLD/FPGA的整數(shù)分頻器設(shè)計(jì)方案
    發(fā)表于 06-17 09:37 ?21次下載

    小數(shù)分頻資料分享

    有關(guān)小數(shù)分頻的資料,用于時(shí)鐘芯片設(shè)計(jì),十分經(jīng)典。
    發(fā)表于 10-24 11:48 ?0次下載

    偶數(shù)分頻器的設(shè)計(jì)

    所謂“分頻”,就是把輸入信號的頻率變成成倍數(shù)地低于輸入頻率的輸出信號。數(shù)字電路中的分頻器主要是分為兩種:整數(shù)分頻小數(shù)分頻。其中整數(shù)分頻又分
    的頭像 發(fā)表于 03-23 15:06 ?1538次閱讀
    <b class='flag-5'>偶數(shù)分頻</b>器的設(shè)計(jì)

    奇數(shù)分頻器的設(shè)計(jì)

    上一篇文章介紹了偶分頻,今天來介紹一下奇數(shù)分頻器的設(shè)計(jì)。
    的頭像 發(fā)表于 03-23 15:06 ?968次閱讀
    <b class='flag-5'>奇數(shù)分頻</b>器的設(shè)計(jì)

    小數(shù)分頻器的設(shè)計(jì)

    前面分別介紹了偶數(shù)奇數(shù)分頻(即整數(shù)分頻),接下來本文介紹小數(shù)分頻
    的頭像 發(fā)表于 03-23 15:08 ?1006次閱讀
    <b class='flag-5'>小數(shù)分頻</b>器的設(shè)計(jì)

    基于Verilog的分數(shù)分頻電路設(shè)計(jì)

    上一篇文章時(shí)鐘分頻系列——偶數(shù)分頻/奇數(shù)分頻/分數(shù)分頻,IC君介紹了各種分頻器的設(shè)計(jì)原理,其中分數(shù)分頻
    的頭像 發(fā)表于 04-25 14:47 ?1587次閱讀
    基于Verilog的分<b class='flag-5'>數(shù)分頻</b>電路設(shè)計(jì)

    分頻器之小數(shù)分頻設(shè)計(jì)

    對于要求相位以及占空比嚴(yán)格的小數(shù)分頻,建議采用模擬電路實(shí)現(xiàn)。而使用數(shù)字電路實(shí)現(xiàn)只能保證盡量均勻,在長時(shí)間內(nèi)進(jìn)行分頻。
    的頭像 發(fā)表于 06-05 17:20 ?1478次閱讀
    <b class='flag-5'>分頻</b>器之<b class='flag-5'>小數(shù)分頻</b>設(shè)計(jì)

    FPGA學(xué)習(xí)-分頻器設(shè)計(jì)

    是用于滿足設(shè)計(jì)的需求。 分頻:產(chǎn)生比板載時(shí)鐘小的時(shí)鐘。 倍頻:產(chǎn)生比板載時(shí)鐘大的時(shí)鐘。 二:分頻器的種類 對于分頻電路來說,可以分為整數(shù)分頻小數(shù)分
    的頭像 發(fā)表于 11-03 15:55 ?1449次閱讀
    FPGA學(xué)習(xí)-<b class='flag-5'>分頻</b>器設(shè)計(jì)

    鎖相環(huán)整數(shù)分頻小數(shù)分頻的區(qū)別是什么?

    鎖相環(huán)整數(shù)分頻小數(shù)分頻的區(qū)別是什么? 鎖相環(huán)(PLL)是一種常用的電子電路,用于將輸入的時(shí)鐘信號與參考信號進(jìn)行同步,并生成輸出信號的一種技術(shù)。在PLL中,分頻器模塊起到關(guān)鍵作用,可以實(shí)現(xiàn)整數(shù)
    的頭像 發(fā)表于 01-31 15:24 ?2469次閱讀