0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

AI驅(qū)動芯片驗證:VSO.ai在手,覆蓋率收斂更快、更好、更高效

新思科技 ? 來源:未知 ? 2023-03-30 20:05 ? 次閱讀

d5ca8052-cef1-11ed-bfe3-dac502259ad0.jpg


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 新思科技
    +關(guān)注

    關(guān)注

    5

    文章

    778

    瀏覽量

    50270

原文標題:AI驅(qū)動芯片驗證:VSO.ai在手,覆蓋率收斂更快、更好、更高效

文章出處:【微信號:Synopsys_CN,微信公眾號:新思科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    synopsys 的design ware:DW_fpv_div,浮點數(shù)除法器,默認32位下,想提升覆蓋率(TMAX),如果用功能case去提升覆蓋率呢?

    公司某個項目用到了這個DW:DW_fpv_div,但是scan跑完,這個模塊覆蓋率只有60%多,現(xiàn)在要我用TMAX軟件,通過功能去覆蓋,我看了下rpt報的faults點,嘗試去寫了幾個特殊值去做除法
    發(fā)表于 10-15 18:38

    AI for Science:人工智能驅(qū)動科學創(chuàng)新》第4章-AI與生命科學讀后感

    很幸運社區(qū)給我一個閱讀此書的機會,感謝平臺。 《AI for Science:人工智能驅(qū)動科學創(chuàng)新》第4章關(guān)于AI與生命科學的部分,為我們揭示了人工智能技術(shù)在生命科學領(lǐng)域中的廣泛應用和深遠影響。在
    發(fā)表于 10-14 09:21

    AI for Science:人工智能驅(qū)動科學創(chuàng)新》第二章AI for Science的技術(shù)支撐學習心得

    非常高興本周末收到一本新書,也非常感謝平臺提供閱讀機會。 這是一本挺好的書,包裝精美,內(nèi)容詳實,干活滿滿。 關(guān)于《AI for Science:人工智能驅(qū)動科學創(chuàng)新》第二章“AI
    發(fā)表于 10-14 09:16

    利用靜態(tài)檢查工具完善功能安全中測試覆蓋率

    在功能安全中測試覆蓋率是比較重要的概念,也是在驗證過程中通常需要花費時間較多的步驟,如果能借助于靜態(tài)檢查工具的死邏輯查找和聲明、測試用例自動補全等功能往往能取得事半功倍的效果。
    的頭像 發(fā)表于 09-05 09:15 ?225次閱讀
    利用靜態(tài)檢查工具完善功能安全中測試<b class='flag-5'>覆蓋率</b>

    AI芯片哪里買?

    AI芯片
    芯廣場
    發(fā)布于 :2024年05月31日 16:58:19

    如何將SystemVerilog斷言屬性和覆蓋屬性置于在設(shè)計上?

    功能覆蓋、激勵生成和運行管理是當今功能驗證的三大相互關(guān)聯(lián)的任務。其中,功能覆蓋率可以說是最重要的,主要是因為覆蓋率收斂是tape的主要標準。
    的頭像 發(fā)表于 05-28 10:30 ?577次閱讀
    如何將SystemVerilog斷言屬性和<b class='flag-5'>覆蓋</b>屬性置于在設(shè)計上?

    risc-v多核芯片AI方面的應用

    RISC-V多核芯片AI方面的應用主要體現(xiàn)在其低功耗、低成本、靈活可擴展以及能夠更好地適應AI算法的不同需求等特點上。 首先,RISC-V適合用于
    發(fā)表于 04-28 09:20

    瑞薩采用了新思科技集成了AI和ML技術(shù)的驗證空間優(yōu)化技術(shù)—VSO.ai

    自動駕駛技術(shù)的進步有目共睹。5G網(wǎng)絡(luò)和人工智能(AI)等技術(shù)的融合,使得自動駕駛汽車的性能更勝從前,新推出的嚴格安全標準也意味著我們在享受這些技術(shù)帶來的便利時,也需要考慮更多的安全因素。
    的頭像 發(fā)表于 04-16 11:13 ?533次閱讀
    瑞薩采用了新思科技集成了<b class='flag-5'>AI</b>和ML技術(shù)的<b class='flag-5'>驗證</b>空間優(yōu)化技術(shù)—<b class='flag-5'>VSO.ai</b>

    開發(fā)者手機 AI - 目標識別 demo

    Network Runtime 神經(jīng)網(wǎng)絡(luò)運行時,作為中間橋梁連通上層AI推理框架和底層加速芯片,實現(xiàn)AI模型的跨芯片推理計算。提供統(tǒng)一AI
    發(fā)表于 04-11 16:14

    瑞薩如何利用Synopsys VSO.ai將SoC驗證生產(chǎn)提高30%

    你可能已經(jīng)在當?shù)氐缆飞嫌H眼目睹了自動駕駛驅(qū)動的汽車系統(tǒng)的進步。5G網(wǎng)絡(luò)和人工智能(AI)等技術(shù)的集成使自動駕駛汽車的性能比以往任何時候都更好,但新的嚴格安全標準又增加了一層考慮因素。 這些發(fā)展帶來
    的頭像 發(fā)表于 04-08 18:38 ?1628次閱讀
    瑞薩如何利用Synopsys <b class='flag-5'>VSO.ai</b>將SoC<b class='flag-5'>驗證</b>生產(chǎn)<b class='flag-5'>率</b>提高30%

    搭載星火認知大模型的AI鼠標:一鍵呼出AI助手,辦公更高效

    搭載星火認知大模型的AI鼠標:一鍵呼出AI助手,辦公更高效 在這個AI時代,如果你想在激烈競爭的職場中不被淘汰,訊飛AI鼠標AM30就是你的
    的頭像 發(fā)表于 03-25 11:52 ?413次閱讀
    搭載星火認知大模型的<b class='flag-5'>AI</b>鼠標:一鍵呼出<b class='flag-5'>AI</b>助手,辦公<b class='flag-5'>更高效</b>

    AIVSO)賦能的VCS驗證流程

    本質(zhì)是讓設(shè)計人員聚焦于修復BUG,而不是花時間發(fā)現(xiàn)BUG。將需要大量人力的工作交給AI,極大的推動了覆蓋率收斂的速度。
    發(fā)表于 03-01 14:04 ?958次閱讀
    <b class='flag-5'>AI</b>(<b class='flag-5'>VSO</b>)賦能的VCS<b class='flag-5'>驗證</b>流程

    怎么用Vivado做覆蓋率分析

    在做仿真的時候往往會去做代碼覆蓋率和功能覆蓋率的分析,來保證仿真是做的比較充分完備的。
    的頭像 發(fā)表于 01-03 12:34 ?1397次閱讀
    怎么用Vivado做<b class='flag-5'>覆蓋率</b>分析

    #芯片 #AI 世界最強AI芯片H200性能大揭秘!

    芯片AI
    深圳市浮思特科技有限公司
    發(fā)布于 :2023年11月15日 15:54:37

    代碼覆蓋率記錄

    為確保具體的產(chǎn)品(例如,醫(yī)療或航空電子市場)質(zhì)量合格, 通常需要提供語句覆蓋與判定覆蓋認證證明。對于各種嵌 入式系統(tǒng),規(guī)范要求高度優(yōu)化的代碼需要實時測試。禁止 代碼插裝和運行時篡改。勞特巴赫代碼覆蓋率測試系統(tǒng)為 客戶提供
    發(fā)表于 11-03 11:02 ?0次下載
    代碼<b class='flag-5'>覆蓋率</b>記錄