0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

受約束隨機驗證的效果真的比直接用例測試好嗎?

芯片驗證工程師 ? 來源:芯片驗證工程師 ? 2023-04-10 11:13 ? 次閱讀

8db6127a-d6a0-11ed-bfe3-dac502259ad0.jpg

當(dāng)介紹uvm驗證時大家肯定都看過上面類似的圖片,以展示受約束的隨機驗證相比直接用例測試如何具有先進性。

但是,在實際的項目執(zhí)行過程中,受約束隨機驗證的效果真的比直接用例測試好么?

說實話,真的不見得??梢钥紤]以下幾種情況:

如果初期驗證環(huán)境搭建得太復(fù)雜,是不是有可能直接用例已經(jīng)測完了一半的特性,隨機驗證還沒有啟動。比較尷尬的局面就是再沒有簡單的bug可以發(fā)現(xiàn)了,數(shù)據(jù)上會顯得隨機驗證的效果比較差,甚至有可能圖上的time savings都沒有了。

隨機用例很多時候沒有辦法覆蓋到某個指定的場景,甚至錯誤的覆蓋率寫法讓你誤以為覆蓋了某個場景,但不進行波形確認的話可能是個假PASS。

上圖中的曲線斜率是一個為了展示受約束隨機的優(yōu)越性而畫出來的。實際項目中有可能隨機效果不是很好,并不會有這么陡峭的斜率。

總之,受約束隨機驗證和直接用例驗證并不沖突。在實際的項目中,兩者需要共存。哪些方面需要增加定向用例?哪些方面需要增加隨機驗證?這些都是需要在驗證執(zhí)行過程中反復(fù)迭代的事情。





審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    181

    瀏覽量

    19112
  • PASS開關(guān)
    +關(guān)注

    關(guān)注

    1

    文章

    2

    瀏覽量

    1252

原文標(biāo)題:受約束隨機驗證真的比直接用例好么?

文章出處:【微信號:芯片驗證工程師,微信公眾號:芯片驗證工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    一種用于隨機約束仿真的SAT增強的字級求解器

    摘 要 隨著硬件設(shè)計復(fù)雜度的激增,驗證已被廣泛認為是制約整個芯片設(shè)計流程的瓶頸。基于仿真的驗證通常通過生成一系列滿足特定布爾/位向量約束隨機
    發(fā)表于 06-06 10:28 ?569次閱讀
    一種用于<b class='flag-5'>隨機</b><b class='flag-5'>約束</b>仿<b class='flag-5'>真的</b>SAT增強的字級求解器

    PLL和FIFO的受約束問題

    轉(zhuǎn)移到hi_pclk_g。TIG不應(yīng)該導(dǎo)致hi_pclk_g完全不受約束嗎?謝謝,布魯諾以上來自于谷歌翻譯以下為原文I don't really understand why this happens
    發(fā)表于 07-15 07:48

    低電流損耗真的好嗎

    用電流損耗更低的RS-485收發(fā)器替代舊器件,結(jié)果卻發(fā)生故障,是什么原因呢?低電流損耗真的好嗎?
    發(fā)表于 08-07 08:29

    如何使用Alexa語音服務(wù)集成在受約束的物聯(lián)網(wǎng)設(shè)備上設(shè)置語音命令

    本指南供硬件和軟件架構(gòu)師學(xué)習(xí)如何使用Alexa語音服務(wù)集成在受約束的物聯(lián)網(wǎng)設(shè)備上設(shè)置語音命令。AWS物聯(lián)網(wǎng)核心的Alexa語音服務(wù)集成(AIA)將與Alexa Voice Services集成所需
    發(fā)表于 08-02 12:53

    設(shè)計驗證中的隨機約束

    隨機約束在現(xiàn)代集成電路驗證中已得到國際IC 設(shè)計業(yè)界的普遍認可,并逐漸開始普及。與傳統(tǒng)的定向測試比較,它在驗證效率、
    發(fā)表于 12-14 09:54 ?13次下載

    多準(zhǔn)則優(yōu)化的規(guī)模約束測試選擇

    軟件修改之后可以重新測試之前的所有用來發(fā)現(xiàn)錯誤,但是這種方法耗費巨大,為了減少測試用例數(shù)量,優(yōu)化測試工作,本文提出了一種全新的
    發(fā)表于 11-16 09:37 ?4次下載

    過度約束正式的財產(chǎn)驗證(FPV)會有什么影響

    ,即斷言和約束,而不是測試平臺。斷言也用于模擬,但約束的作用是不同的。理解約束對于成功使用FPV是必要的。
    的頭像 發(fā)表于 08-07 15:35 ?2011次閱讀

    PADS的視覺約束驗證

    很容易驗證約束的間隙,高速、制造、通過計算最大,在墊和可測試性的限制。建立、保存和使用驗證方案。違反可以以直觀的表格只有兩個鼠標(biāo)點擊。從電子表格自動選擇一個違反規(guī)則的放大和糾正違反并迅
    的頭像 發(fā)表于 11-01 07:00 ?1992次閱讀

    詳談黑盒測試與白盒測試的異同及

    詳談黑盒測試與白盒測試的異同及
    的頭像 發(fā)表于 08-19 17:07 ?6562次閱讀
    詳談黑盒<b class='flag-5'>測試</b>與白盒<b class='flag-5'>測試</b>的異同及<b class='flag-5'>用</b><b class='flag-5'>例</b>

    簡述SystemVerilog的隨機約束方法

    上一篇文章介紹了SystemVerilog的各種隨機化方法,本文將在其基礎(chǔ)上引入SystemVerilog的隨機約束方法(constraints)。通過使用隨機
    的頭像 發(fā)表于 01-21 17:03 ?1939次閱讀

    創(chuàng)建約束隨機測試目標(biāo)

    為了實現(xiàn)驗證目標(biāo),測試開發(fā)者需要控制測試激勵的生成以覆蓋特定的場景。測試開發(fā)者可以
    的頭像 發(fā)表于 06-17 14:06 ?560次閱讀
    創(chuàng)建<b class='flag-5'>約束</b><b class='flag-5'>隨機</b><b class='flag-5'>測試</b>目標(biāo)

    超級電容器它真的電池好嗎?

    近些年超級電容器開始進入人的是實現(xiàn)是因新能源未來的電動汽車中,但是,超級電容器到底是什么,他真的電池還好嗎?超級電容真的是儲能的未來嗎?在深入探討超級電容器是否
    的頭像 發(fā)表于 03-04 09:14 ?997次閱讀
    超級電容器它<b class='flag-5'>真的</b><b class='flag-5'>比</b>電池<b class='flag-5'>好嗎</b>?

    SystemVerilog的隨機約束方法

    上一篇文章《暗藏玄機的SV隨機化》介紹了SystemVerilog的各種隨機化方法,本文將在其基礎(chǔ)上引入SystemVerilog的隨機約束方法(constraints)。通過使用
    的頭像 發(fā)表于 09-24 12:15 ?1406次閱讀

    差分對緊耦合真的松耦合好嗎?

    差分對緊耦合真的松耦合好嗎?
    的頭像 發(fā)表于 11-30 15:24 ?863次閱讀
    差分對緊耦合<b class='flag-5'>真的</b><b class='flag-5'>比</b>松耦合<b class='flag-5'>好嗎</b>?

    EMC與EMI測試整改:從問題識別到效果驗證

    深圳創(chuàng)達電子|EMC與EMI測試整改:從問題識別到效果驗證
    的頭像 發(fā)表于 06-27 10:37 ?352次閱讀
    EMC與EMI<b class='flag-5'>測試</b>整改:從問題識別到<b class='flag-5'>效果</b><b class='flag-5'>驗證</b>