0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Verdi使用技巧(二)

CHANBAEK ? 來源:跟IC君一起學習集成電路 ? 作者:IC君 ? 2023-04-25 14:42 ? 次閱讀

上一篇文章IC君跟大家分享了Verdi使用技巧——連續(xù)有效信號量測方法。 有不少網友在文章后留言或者微信給我留言,提供了一些其它方法。 不得不說廣大人民群眾的智慧才是無窮無盡的,大家一起交流才能取得更大的進步!

首先補充一下其他網友給我提供的測量連續(xù)有效信號的一些方法。

網格法:

點擊菜單里面的view選項,選擇Grid Options,如下圖所示:

wKgaomRHdjyAR9UxAADUP3e37Gc540.jpg

選中選項Grid on 以及選項 Grid Counter with Start Number,如下圖所示,點擊Apply或者OK之后,就可以看到波形里面出現了網格,最下面有數字出現。 這種方法還有一個好處是鼠標左鍵點到CLK信號的任意位置,計數都是從新的地方開始,具有很強的靈活性。

wKgZomRHdjyAe1lBAADeiRvlLvc695.jpg

自動添加計數器:

右擊CLK信號,選擇Add Counter Signal by,選擇上升沿、下降沿、任意沿中的一個,

wKgZomRHdjyAT7kXAAEscQanaJ8403.jpg

就會自動產生一個16進制的計數信號,不過它的計數起點是從CLK的0時刻開始,不能選擇任意時刻,所以缺乏靈活性。

wKgaomRHdjyAc5UPAAAHk5j5p-o466.jpg

這兩種方法更推薦第一種網格法,看起來很強大,大家可以繼續(xù)探索探索。

但是實際debug過程中,更常遇到的場景是非連續(xù)有效的信號,如下圖所示:CLK并不是在每個時刻都是有效的,這時我們又該如何比較快速準確的獲知某個時間段內有效信號(CLK)個數呢?

wKgZomRHdjyAUHFaAABHpgqMqkg807.jpg

跟獲取連續(xù)有效信號個數一樣,如果某個時間段內有效信號個數較少(比如少于10個),直接手動數就可以了。 當有效信號toggle次數比較多時,肉眼無法快速看出數量的時候,我們可以利用Verdi nWave里面的Logical operation 和Signal Event report 這兩個功能來實現。

對于非連續(xù)有效數據傳輸,通常會有一個信號來標志哪筆數據是有效的,如上圖中的valid_in信號為1時表示data_in數據有效。 利用這個標志信號跟時鐘進行“與”操作,就得到一個新的時鐘。 這個新的時鐘只有在數據有效時才有,在數據無效時被遮掉了。 再通過Signal Event report獲知指定時間內新的時鐘個數,就可以知道有效數據的個數了。

具體操作步驟如下:

1.鼠標選中時鐘信號clk和數據有效標志信號valid_in;

2.點開nWave 菜單里面的Signal,再選擇下拉菜單里的Logical Operation,如下圖:

wKgaomRHdjyAEKBhAADTEiJDoA0187.jpg

3.在彈出的對話框里面,如下圖所示,確認Expression 里的信號操作是”&”; 把Name改成valid_in_clk; 然后點擊Create/Modify按鈕;

wKgaomRHdjyAdyygAACHLg6HTKU885.jpg

再回來看波形,如下圖所示,就會發(fā)現多了一個信號valid_in_clk,這個信號就是我們需要的新時鐘信號。

wKgZomRHdjyAHWGqAABL1alFbTw301.jpg

4.接下來就是Signal Event Report閃亮登場了。 選中valid_in_clk, 然后在View下拉菜單里面選Signal Event Report。 如下圖所示,在彈出的對話框里,找到Falling#這一列,里面列的數字16就是我們指定的時間段內有效的數據個數了。

wKgaomRHdjyADqG7AADxgjUCiQA917.jpg

如果還是半信半疑的同學,可以手動數一數,看看Logical Operation加Signal Event Report這套組合拳的結果是否正確,哈哈~

用Logical Operation和Signal Event Report來量測非連續(xù)有效信號的方法就介紹到這里了,大家都學會了嗎?

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯系本站處理。 舉報投訴
  • 信號
    +關注

    關注

    11

    文章

    2767

    瀏覽量

    76466
  • 計數器
    +關注

    關注

    32

    文章

    2248

    瀏覽量

    94187
  • Verdi
    +關注

    關注

    0

    文章

    22

    瀏覽量

    8750
  • signal
    +關注

    關注

    0

    文章

    110

    瀏覽量

    24855
  • 時鐘信號
    +關注

    關注

    4

    文章

    441

    瀏覽量

    28475
收藏 人收藏

    評論

    相關推薦

    請教VCS和verdi怎么聯合使用

    最近要用到VCS仿真后生成FSDB文件,然后在Verdi中進行自動偵錯,請問我怎么安裝Verdi這個軟件以及如何啟動license,并且怎么寫testbench文件才可以產生FSDB文件。請懂得人給我支支招,我也是剛開始學習這個軟件的使用。
    發(fā)表于 01-22 14:53

    Verdi工具怎么安裝?如何破解?

    本文介紹Verdi工具的安裝及破解過程
    發(fā)表于 06-21 07:15

    VCS+Verdi如何安裝?怎么破解?

    VCS+Verdi如何安裝?怎么破解?
    發(fā)表于 06-21 06:11

    VCS仿真卡住,為什么無法生成verdi波形文件呢?

    在make com編譯成功后,terminal卡住了一直沒有反應,這是什么情況有大佬知道嗎?VCS仿真卡住,為什么無法生成verdi波形文件呢?
    發(fā)表于 06-21 08:14

    Verdi 54v7 LINUX

    Verdi 54v7 LINUX
    發(fā)表于 03-25 13:19 ?9次下載

    verdi-SP2軟件下載

    本文檔內容提供了verdi-SP2軟件免費下載,供有需要的朋友參考
    發(fā)表于 04-19 17:28 ?7次下載

    Verdi使用技巧 連續(xù)有效信號量測方法

    Verdi自動化調試系統是Verdi SoC調試平臺的核心,它支持對所有設計和驗證流程進行全面的調試。它包括強大的技術,幫助您理解復雜和不熟悉的設計行為,讓困難和乏味的調試過程自動化,并能讓多樣和復雜的設計環(huán)境一致化。
    的頭像 發(fā)表于 05-15 15:46 ?9762次閱讀
    <b class='flag-5'>Verdi</b>使用技巧 連續(xù)有效信號量測方法

    如何通過自動化腳本實現Questasim和Verdi的聯合仿真

    Verdi是用來仿真以及debug波形的工具,但它不能夠用來編譯verilog和systemverilog文件,所以需要借助第三方EDA工具去做編譯的工作。 這里主要分享一下使用questasim
    的頭像 發(fā)表于 06-13 17:00 ?4419次閱讀
    如何通過自動化腳本實現Questasim和<b class='flag-5'>Verdi</b>的聯合仿真

    分享《verdi用法小結》的pdf

    分享Verdi用法小結的pdf文檔
    的頭像 發(fā)表于 02-18 20:21 ?1112次閱讀
    分享《<b class='flag-5'>verdi</b>用法小結》的pdf

    Verdi使用技巧(三)

    前一段時間IC君比較忙,沒有更新文章,最近稍微閑下來點,繼續(xù)更新Verdi相關的文章。
    的頭像 發(fā)表于 04-25 14:44 ?4378次閱讀
    <b class='flag-5'>Verdi</b>使用技巧(三)

    全網最實用的Verdi教程1

    Verdi是一個功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業(yè)常用VCS+Verdi或者Xcelium/xrun+Verdi的方式進行代碼的仿真與檢查。Verdi
    的頭像 發(fā)表于 05-05 14:49 ?1.6w次閱讀
    全網最實用的<b class='flag-5'>Verdi</b>教程1

    全網最實用的Verdi教程2

    Verdi是一個功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業(yè)常用VCS+Verdi或者Xcelium/xrun+Verdi的方式進行代碼的仿真與檢查。Verdi
    的頭像 發(fā)表于 05-05 14:53 ?7770次閱讀
    全網最實用的<b class='flag-5'>Verdi</b>教程2

    全網最實用的Verdi教程3

    Verdi是一個功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業(yè)常用VCS+Verdi或者Xcelium/xrun+Verdi的方式進行代碼的仿真與檢查。Verdi
    的頭像 發(fā)表于 05-05 14:53 ?5377次閱讀
    全網最實用的<b class='flag-5'>Verdi</b>教程3

    Verdi環(huán)境配置、生成波形的方法

    Verdi是一個功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業(yè)常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式進行代碼的仿真與檢查
    的頭像 發(fā)表于 05-29 09:48 ?3325次閱讀
    <b class='flag-5'>Verdi</b>環(huán)境配置、生成波形的方法

    Verdi連續(xù)波激光器-Coherent

    描述: Verdi系列激光器,是基于OPSL專利技術,是高功率的532nm綠光激光器。常用于鈦寶石激光器泵浦、全息、干涉、冷原子等領域。 Verdi系列激光器,是久負盛名的激光器,具有非常出色
    的頭像 發(fā)表于 06-30 09:47 ?474次閱讀
    <b class='flag-5'>Verdi</b>連續(xù)波激光器-Coherent