0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA編程語言之verilog語法2

jf_78858299 ? 來源:Hack電子 ? 作者:Hack電子 ? 2023-05-22 15:53 ? 次閱讀

第三章 verilog語法進階

三、模塊的結(jié)構(gòu)、數(shù)據(jù)類型、變量和基本運算符號

3.1 模塊的結(jié)構(gòu)

Verilog的基本設(shè)計單元是“模塊”(block)。一個模塊是由兩部分組成的,一部分描述接口,另一部分描述邏輯功能,即定義輸入是如何影響輸出的。下面舉例說明:

圖片

圖2 模塊簡圖

請看上面的例子: 程序模塊旁邊有一個電路圖的符號。在許多方面,程序模塊和電路圖符號是一致的,這是因為電路圖符號的引腳也就是程序模塊的接口。而程序模塊描述了電路圖符號所實現(xiàn)的邏輯功能。以上就是設(shè)計一個簡單的Verilog程序模塊所需的全部內(nèi)容。從上面的例子可以看出,Verilog結(jié)構(gòu)位于在module和endmodule聲明語句之間,每個Verilog程序包括四個主要部分:端口定義、I/O說明、內(nèi)部信號聲明、功能定義。

3.1.1 模塊的端口定義

模塊的端口聲明了模塊的輸入輸出口。其格式如下:

module 模塊名(口1,口2,口3,口4, ………);

…….

endmodule

模塊的端口表示的是模塊的輸入和輸出口名,也就是它與別的模塊聯(lián)系端口的標(biāo)識。在模塊被引用時,在引用的模塊中,有些信號要輸入到被引用的模塊中,有的信號需要從被引用的模塊中取出來。在引用模塊時其端口可以用兩種方法連接:

1)在引用時,嚴(yán)格按照模塊定義的端口順序來連接,不用標(biāo)明原模塊定義時規(guī)定的端口名,舉例說明如下:

模塊名 實例化名( 連接端口1信號名, 連接端口2信號名,連接端口3信號名,….,,,);

2)在引用時用“.”標(biāo)明原模塊定義時規(guī)定的端口名,舉例說明如下:

模塊名 實例化名(.端口1名( 連接信號1名),.端口2名( 連接信號2名),….,,,);

這樣表示的好處在于可以用端口名與被引用模塊的端口對應(yīng),不必嚴(yán)格按端口順序?qū)?yīng),提高了程序的可讀性和可移植性。

3.1.2 模塊內(nèi)容

模塊的內(nèi)容包括I/O說明、內(nèi)部信號聲明、功能定義。

3.1.2.1 I/O說明的格式如下:

輸入口:input [信號位寬-1 :0] 端口名1;

input [信號位寬-1 :0] 端口名2;

………;

input [信號位寬-1 :0] 端口名i; //(共有i個輸入口)

輸出口:output [信號位寬-1 :0] 端口名1;

output [信號位寬-1 :0] 端口名2;

………;

output [信號位寬-1 :0] 端口名j; //(共有j個輸出口)

輸入/輸出口:

inout [信號位寬-1 :0] 端口名1;

inout [信號位寬-1 :0] 端口名2;

………;

inout [信號位寬-1 :0] 端口名k; //(共有k個雙向總線端口)

I/O說明也可以寫在端口聲明語句里。其格式如下:

module module_name(input port1,input port2,…

output port1,output port2… );

3.1.2.2 內(nèi)部信號說明:

在模塊內(nèi)用到的和與端口有關(guān)的wire 和 reg 類型變量的聲明。如:

reg [width-1 : 0] R變量1,R變量2 。。。。;

wire [width-1 : 0] W變量1,W變量2 。。。。;

………..

3.1.2.3 功能定義:

模塊中最重要的部分是邏輯功能定義部分。有三種方法可在模塊中產(chǎn)生邏輯。

1)用“assign”聲明語句,如:assign a = b & c;

2)實例化模塊,如:and u1( q, a, b );

3)用“always”塊

如:always @(posedge clk or posedge clr)

begin

if(clr) q <= 0;

else if(en) q <= d;

end

采用“assign”語句是描述組合邏輯最常用的方法之一。而“always”塊既可用于描述組合邏輯也可描述時序邏輯。上面的例子用“always”塊生成了一個帶有異步清除端的D觸發(fā)器?!癮lways”塊可用很多種描述手段來表達邏輯,例如上例中就用了if...else語句來表達邏輯關(guān)系。如按一定的風(fēng)格來編寫“always”塊,可以通過綜合工具把源代碼自動綜合成用門級結(jié)構(gòu)表示的組合或時序邏輯電路。

3.1.3 理解要點:

如果用Verilog模塊實現(xiàn)一定的功能,首先應(yīng)該清楚哪些是同時發(fā)生的,哪些是順序發(fā)生的。上面分別采用了“assign”語句、實例元件和“always”塊,描述的邏輯功能是同時執(zhí)行的。也就是說,如果把這三項寫到一個 VeriIog 模塊文件中去,它們的次序不會影響邏輯實現(xiàn)的功能。這三項是同時執(zhí)行的,也就是并發(fā)的。

然而,在“always”模塊內(nèi),邏輯是按照指定的順序執(zhí)行的?!癮lways”塊中的語句稱為“順序語句”,因為它們是順序執(zhí)行,所以“always”塊也稱作“過程塊”。請注意,兩個或更多的“always”語句塊,它們是同時執(zhí)行的,而模塊內(nèi)部的語句是順序執(zhí)行的??匆幌隆癮lways”塊內(nèi)的語句,你就會明白它是如何實現(xiàn)功能的。if..else… if必須順序執(zhí)行,否則其功能就沒有任何意義。如果else語句在if語句之前執(zhí)行,其功能就會不符合要求!為了能實現(xiàn)上述描述的功能,“always”語句塊內(nèi)部的語句將按照書寫的順序執(zhí)行。

在Verilog 模塊中所有過程塊(如:initial塊、always塊)、連續(xù)賦值語句、實例引用都是并行的。它們表示的是一種通過變量名互相連接的關(guān)系。在同一模塊中這三者出現(xiàn)的先后次序沒有關(guān)系。只有連續(xù)賦值語句assign 和實例引用語句可以獨立于過程塊而存在于模塊的功能定義部分。以上是與C語言有很大的不同。許多與C語言類似的語句只能出現(xiàn)在過程塊中,而不能隨意出現(xiàn)在模塊功能定義的范圍內(nèi)。

3.2 數(shù)據(jù)類型及其常量、變量

Verilog HDL中總共有十九種數(shù)據(jù)類型,數(shù)據(jù)類型是用來表示數(shù)字電路硬件中的數(shù)據(jù)儲存和傳送元素的。先只介紹四個最基本的數(shù)據(jù)類型,它們是:reg型、wire型、integer型、parameter型。

其它數(shù)據(jù)類型也有很多:large型、medium型、scalared型、time型、small型、tri型、trio型、tri1型、triand型、trior型、trireg型、vectored型、wand型、wor型。

3.2.1 常量

在程序運行過程中,其值不能被改變的量稱為常量。下面首先對在Verilog HDL語言中使用的數(shù)字及其表示方式進行介紹。

3.2.1.1 整數(shù):

在Verilog HDL中,整型常量即整常數(shù)有以下四種進制表示形式:

  1. 二進制整數(shù)(b或B)
  2. 十進制整數(shù)(d或D)
  3. 十六進制整數(shù)(h或H)
  4. 八進制整數(shù)(o或O)

3.2.1.2 x和z值:

數(shù)字電路中,x代表不定值,z代表高阻值。一個x可以用來定義十六進制數(shù)的四位二進制數(shù)的狀態(tài),八進制數(shù)的三位,二進制數(shù)的一位。z的表示方式同x類似。z還有一種表達方式是可以寫作?。在使用case表達式時建議使用這種寫法,以提高程序的可讀性。見下例:

4'b10x0 //位寬為4的二進制數(shù)從低位數(shù)起第二位為不定值

4'b101z //位寬為4的二進制數(shù)從低位數(shù)起第一位為高阻值

12'dz //位寬為12的十進制數(shù)其值為高阻值(第一種表達方式)

12'd? //位寬為12的十進制數(shù)其值為高阻值(第二種表達方式)

8'h4x //位寬為8的十六進制數(shù)其低四位值為不定值

3.2.1.3 負數(shù):

一個數(shù)字可以被定義為負數(shù),只需在位寬表達式前加一個減號,減號必須寫在數(shù)字定義表達式的最前面。注意減號不可以放在位寬和進制之間也不可以放在進制和具體的數(shù)之間。如下:

-8'd5 //這個表達式代表5的補數(shù)(用八位二進制數(shù)表示)

8'd-5 //非法格式

3.2.2 參數(shù)(Parameter)型

在Verilog HDL中用parameter來定義常量,即用parameter來定義一個標(biāo)識符代表一個常量,稱為符號常量,即標(biāo)識符形式的常量,采用標(biāo)識符代表一個常量可提高程序的可讀性和可維護性。parameter型數(shù)據(jù)是一種常數(shù)型的數(shù)據(jù),其說明格式如下:

parameter 參數(shù)名1=表達式,參數(shù)名2=表達式, …,參數(shù)名n=表達式;

parameter是參數(shù)型數(shù)據(jù)的確認符,確認符后跟著一個用逗號分隔開的賦值語句表。在每一個賦值語句的右邊必須是一個常數(shù)表達式。也就是說,該表達式只能包含數(shù)字或先前已定義過的參數(shù)。見下列:

parameter msb=7; //定義參數(shù)msb為常量7

parameter e=25, f=29; //定義二個常數(shù)參數(shù)

parameter r=5.7; //聲明r為一個實型參數(shù)

parameter byte_size=8, byte_msb=byte_size-1; //用常數(shù)表達式賦值

parameter average_delay = (r+f)/2; //用常數(shù)表達式賦值

3.2.3 變量

3.2.3.1 wire型

wire型數(shù)據(jù)常用來表示用于以assign關(guān)鍵字指定的組合邏輯信號。Verilog程序模塊中輸入輸出信號類型缺省時自動定義為wire型。wire型信號可以用作任何方程式的輸入,也可以用作“assign”語句或?qū)嵗妮敵觥?/p>

wire型信號的格式同reg型信號的很類似。其格式如下:

wire [n-1:0] 數(shù)據(jù)名1,數(shù)據(jù)名2,…數(shù)據(jù)名i;//共有i條總線,每條總線內(nèi)有n條線路

wire [n:1] 數(shù)據(jù)名1,數(shù)據(jù)名2,…數(shù)據(jù)名i;

wire是wire型數(shù)據(jù)的確認符,[n-1:0]和[n:1]代表該數(shù)據(jù)的位寬,即該數(shù)據(jù)有幾位。最后跟著的是數(shù)據(jù)的名字。如果一次定義多個數(shù)據(jù),數(shù)據(jù)名之間用逗號隔開。聲明語句的最后要用分號表示語句結(jié)束。如下格式:

wire a; //定義了一個一位的wire型數(shù)據(jù)

wire [7:0] b; //定義了一個八位的wire型數(shù)據(jù)

wire [4:1] c, d; //定義了二個四位的wire型數(shù)據(jù)

3.2.3.2 reg型

寄存器是數(shù)據(jù)儲存單元的抽象。寄存器數(shù)據(jù)類型的關(guān)鍵字是reg。通過賦值語句可以改變寄存器儲存的值,其作用與改變觸發(fā)器儲存的值相當(dāng)。reg類型數(shù)據(jù)的缺省初始值為不定值,x。reg型只表示被定義的信號將用在“always”塊內(nèi)。

reg型數(shù)據(jù)常用來表示用于“always”模塊內(nèi)的指定信號,常代表觸發(fā)器。通常,在設(shè)計中要由“always”塊通過使用行為描述語句來表達邏輯關(guān)系。在“always”塊內(nèi)被賦值的每一個信號都必須定義成reg型。

reg型數(shù)據(jù)的格式如下:

reg [n-1:0] 數(shù)據(jù)名1,數(shù)據(jù)名2,… 數(shù)據(jù)名i;

reg [n:1] 數(shù)據(jù)名1,數(shù)據(jù)名2,… 數(shù)據(jù)名i;

reg是reg型數(shù)據(jù)的確認標(biāo)識符,[n-1:0]和[n:1]代表該數(shù)據(jù)的位寬,即該數(shù)據(jù)有幾位(bit)。最后跟著的是數(shù)據(jù)的名字。如果一次定義多個數(shù)據(jù),數(shù)據(jù)名之間用逗號隔開。聲明語句的最后要用分號表示語句結(jié)束。如下:

reg rega; //定義了一個一位的名為rega的reg型數(shù)據(jù)

reg [3:0] regb; //定義了一個四位的名為regb的reg型數(shù)據(jù)

reg [4:1] regc, regd; //定義了兩個四位的名為regc和regd的reg型數(shù)據(jù)

3.2.3.3 memory型

Verilog HDL通過對reg型變量建立數(shù)組來對存儲器建模,可以描述RAM型存儲器,ROM存儲器和reg文件。數(shù)組中的每一個單元通過一個數(shù)組索引進行尋址。在Verilog語言中沒有多維數(shù)組存在。memory型數(shù)據(jù)是通過擴展reg型數(shù)據(jù)的地址范圍來生成的。其格式如下:

reg [n-1:0] 存儲器名[m-1:0];

或 reg [n-1:0] 存儲器名[m:1];

在這里,reg[n-1:0]定義了存儲器中每一個存儲單元的大小,即該存儲單元是一個n位的寄存器。存儲器名后的[m-1:0]或[m:1]則定義了該存儲器中有多少個這樣的寄存器。最后用分號結(jié)束定義語句。下面舉例說明:

reg [7:0] mema[255:0];

這個例子定義了一個名為mema的存儲器,該存儲器有256個8位的存儲器。該存儲器的地址范圍是0到255。注意:對存儲器進行地址索引的表達式必須是常數(shù)表達式。

另外,在同一個數(shù)據(jù)類型聲明語句里,可以同時定義存儲器型數(shù)據(jù)和reg型數(shù)據(jù)。見下例:

parameter wordsize=16, //定義二個參數(shù)

memsize=256;

reg [wordsize-1:0] mem[memsize-1:0], writereg, readreg;

盡管memory型數(shù)據(jù)和reg型數(shù)據(jù)的定義格式很相似,但要注意其不同之處。如一個由n個1位寄存器構(gòu)成的存儲器組是不同于一個n位的寄存器的。見下例:

reg [n-1:0] rega; //一個n位的寄存器

reg mema [n-1:0]; //一個由n個1位寄存器構(gòu)成的存儲器組

一個n位的寄存器可以在一條賦值語句里進行賦值,而一個完整的存儲器則不行。見下例:

rega =0; //合法賦值語句

mema =0; //非法賦值語句

如果想對memory中的存儲單元進行讀寫操作,必須指定該單元在存儲器中的地址。下面的寫法是正確的。

mema[3]=0; //給memory中的第3個存儲單元賦值為0。

進行尋址的地址索引可以是表達式,這樣就可以對存儲器中的不同單元進行操作。表達式的值可以取決于電路中其它的寄存器的值。例如可以用一個加法計數(shù)器來做RAM的地址索引。

3.3 運算符及表達式

Verilog HDL語言的運算符范圍很廣,其運算符按其功能可分為以下幾類:

  1. 算術(shù)運算符(+,-,×,/,%)
  2. 賦值運算符(=,<=)
  3. 關(guān)系運算符(>,<,>=,<=)
  4. 邏輯運算符(&&,||,!)
  5. 條件運算符(?:)
  6. 位運算符( ,|,^,&,^ )
  7. 移位運算符(<<,>>)
  8. 拼接運算符({ })
  9. 其它

在Verilog HDL語言中運算符所帶的操作數(shù)是不同的,按其所帶操作數(shù)的個數(shù)運算符可分為三種:

  1. 單目運算符(unary operator):可以帶一個操作數(shù),操作數(shù)放在運算符的右邊。
  2. 二目運算符(binary operator):可以帶二個操作數(shù),操作數(shù)放在運算符的兩邊。
  3. 三目運算符(ternary operator):可以帶三個操作,這三個操作數(shù)用三目運算符分隔開。

clock = ~clock; // ~是一個單目取反運算符, clock是操作數(shù)。

c = a | b; // 是一個二目按位或運算符, a 和 b是操作數(shù)。

r = s ? t : u; // ?: 是一個三目條件運算符, s,t,u是操作數(shù)。

下面對常用的幾種運算符進行介紹。

3.3.1 基本的算術(shù)運算符

在Verilog HDL語言中,算術(shù)運算符又稱為二進制運算符,共有下面幾種:

    • (加法運算符,或正值運算符,如 rega+regb,+3)
  1. - (減法運算符,或負值運算符,如 rega-3,-3)
  2. × (乘法運算符,如rega*3)
  3. / (除法運算符,如5/3)
  4. % (模運算符,或稱為求余運算符,要求%兩側(cè)均為整型數(shù)據(jù)。如7%3的值為1)

3.3.2 位運算符

Verilog HDL作為一種硬件描述語言,是針對硬件電路而言的。在硬件電路中信號有四種狀態(tài)值1,0,x,z.在電路中信號進行與或非時,反映在Verilog HDL中則是相應(yīng)的操作數(shù)的位運算。

3.3.2.1 "取反"運算符~

~是一個單目運算符,用來對一個操作數(shù)進行按位取反運算。

其運算規(guī)則見下表:

圖片

圖3 取反求值

rega='b1010;//rega的初值為'b1010

rega=~rega;//rega的值進行取反運算后變?yōu)?b0101

3.3.2.2 “按位與”運算符 &

按位與運算就是將兩個操作數(shù)的相應(yīng)位進行與運算,

其運算規(guī)則見下表:

圖片

圖4 按位與求值

3.3.2.3 “按位或”運算符|

按位或運算就是將兩個操作數(shù)的相應(yīng)位進行或運算。

其運算規(guī)則見下表:

圖片

圖5 按位或求值

3.3.2.4 “按位異或”運算符^(也稱之為XOR運算符)

按位異或運算就是將兩個操作數(shù)的相應(yīng)位進行異或運算。

其運算規(guī)則見下表:

圖片

圖6 按位異或求值

3.3.2.5 “按位同或”運算符^~

按位同或運算就是將兩個操作數(shù)的相應(yīng)位先進行異或運算再進行非運算。其運算規(guī)則見下表:

圖片

圖7 按位同或求值

3.3.2.6 不同長度的數(shù)據(jù)進行位運算

兩個長度不同的數(shù)據(jù)進行位運算時,系統(tǒng)會自動的將兩者按右端對齊。位數(shù)少的操作數(shù)會在相應(yīng)的高位用0填滿,以使兩個操作數(shù)按位進行操作。

3.4 邏輯運算符

在Verilog HDL語言中存在三種邏輯運算符:

1) && 邏輯與

2) || 邏輯或

3) ! 邏輯非

“&&”和“||”是二目運算符,它要求有兩個操作數(shù),如(a>b)&&(b>c),(ab)。下表為邏輯運算的真值表。它表示當(dāng)a和b的值為不同的組合時,各種邏輯運算所得到的值。

圖片

圖 8 求與

邏輯運算符中“&&”和“||”的優(yōu)先級別低于關(guān)系運算符,“!” 高于算術(shù)運算符。

3.5 關(guān)系運算符

關(guān)系運算符共有以下四種:

a < b a小于b

a > b a大于b

a <= b a小于或等于b

a >= b a大于或等于b

在進行關(guān)系運算時,如果聲明的關(guān)系是假的(false),則返回值是0,如果聲明的關(guān)系是真的(true),則返回值是1,如果某個操作數(shù)的值不定,則關(guān)系是模糊的,返回值是不定值。

3.6 等式運算符

在Verilog HDL語言中存在四種等式運算符:

  1. = = (等于)
  2. != (不等于)
  3. = = = (等于)
  4. != = (不等于)

注意:求反號、雙等號、三個等號之間不能有空格

這四個運算符都是二目運算符,它要求有兩個操作數(shù)。"=="和"!="又稱為邏輯等式運算符。其結(jié)果由兩個操作數(shù)的值決定。由于操作數(shù)中某些位可能是不定值x和高阻值z,結(jié)果可能為不定值x。而“===”和“!==”運算符則不同,它在對操作數(shù)進行比較時對某些位的不定值x和高阻值z也進行比較,兩個操作數(shù)必需完全一致,其結(jié)果才是1,否則為0?!?==”和“!==”運算符常用于case表達式的判別,所以又稱為“case等式運算符”。這四個等式運算符的優(yōu)先級別是相同的。下面畫出==與===的真值表,幫助理解兩者間的區(qū)別。

圖片

圖9 ===與==的區(qū)別

下面舉一個例子說明“==”和“===”的區(qū)別。

例:

if(A==1‘bx) $display(“AisX”);(當(dāng)A等于X時,這個語句不執(zhí)行)

if(A===1‘bx) $display(“AisX”);(當(dāng)A等于X時,這個語句執(zhí)行)

3.7 移位運算符

在Verilog HDL中有兩種移位運算符:

<< (左移位運算符) 和 >>(右移位運算符)。

其使用方法如下:

a >> n 或 a << n

a代表要進行移位的操作數(shù),n代表要移幾位。這兩種移位運算都用0來填補移出的空位。

3.8 位拼接運算符(Concatation)

在Verilog HDL語言有一個特殊的運算符:位拼接運算符{}。用這個運算符可以把兩個或多個信號的某些位拼接起來進行運算操作。其使用方法如下:

{信號1的某幾位,信號2的某幾位,..,..,信號n的某幾位}

即把某些信號的某些位詳細地列出來,中間用逗號分開,最后用大括號括起來表示一個整體信號。見下例:

{a,b[3:0], w, 3’b101}

也可以寫成為

{a,b[3],b[2],b[1],b[0],w,1’b1,1’b0,1’b1}

在位拼接表達式中不允許存在沒有指明位數(shù)的信號。這是因為在計算拼接信號的位寬的大小時必需知道其中每個信號的位寬。

位拼接還可以用重復(fù)法來簡化表達式。見下例:

{4{w}} //這等同于{w,w,w,w}

位拼接還可以用嵌套的方式來表達。見下例:

{b,{3{a,b}}} //這等同于{b,a,b,a,b,a,b}

用于表示重復(fù)的表達式如上例中的4和3,必須是常數(shù)表達式。

3.9 縮減運算符(reduction operator)

縮減運算符是單目運算符,也有與或非運算。其與或非運算規(guī)則類似于位運算符的與或非運算規(guī)則,但其運算過程不同。位運算是對操作數(shù)的相應(yīng)位進行與或非運算,操作數(shù)是幾位數(shù)則運算結(jié)果也是幾位數(shù)。而縮減運算則不同,縮減運算是對單個操作數(shù)進行或與非遞推運算,最后的運算結(jié)果是一位的二進制數(shù)。縮減運算的具體運算過程是這樣的:第一步先將操作數(shù)的第一位與第二位進行或與非運算,第二步將運算結(jié)果與第三位進行或與非運算,依次類推,直至最后一位。

例如:reg [3:0] B;

reg C;

C = &B;

相當(dāng)于:

C =( (B[0]&B[1]) & B[2] ) & B[3];

由于縮減運算的與、或 運算規(guī)則類似于位運算符與、或 運算規(guī)則,這里不再詳細講述,請參照位運算符的運算規(guī)則介紹。

3.10 優(yōu)先級別

下面對各種運算符的優(yōu)先級別關(guān)系作一個總結(jié)。見下表:

圖片

圖10 運算符優(yōu)先級

3.11 關(guān)鍵詞

在Verilog HDL中,所有的關(guān)鍵詞是事先定義好的確認符,用來組織語言結(jié)構(gòu)。關(guān)鍵詞是用小寫字母定義的,因此在編寫程序代碼時必須注意關(guān)鍵詞的書寫,以避免出錯。下面是Verilog HDL中使用的關(guān)鍵詞

always, and, assign, begin, buf, bufif0, bufif1, case, casex, casez, cmos, deassign, default, defparam, disable, edge, else, end, endcase, endmodule, endfunction, endprimitive, endspecify, endtable, endtask, event, for, force, forever, fork, function, highz0, highz1, if, initial, inout, input, integer, join,large, macromodule,medium, module, nand, negedge, nmos, nor, not, notif0, notifl, or, output, parameter, pmos, posedge, primitive, pull0, pull1, pullup, pulldown, rcmos, reg, releses, repeat, mmos, rpmos, rtran, rtranif0, rtranif1, scalared, small, specify, specparam, strength, strong0, strong1, supply0, supply1, table, task, time, tran, tranif0, tranif1, tri, tri0, tri1, triand, trior, trireg, vectored, wait, wand, weak0, weak1, while, wire, wor, xnor, xor

注意在編寫Verilog HDL程序時,變量的定義不要與這些關(guān)鍵詞沖突。

3.12 賦值語句

3.12.1 賦值語句

在Verilog HDL語言中,信號有兩種賦值方式:

(1).非阻塞(Non_Blocking)賦值方式( 如 b <= a; )

A) 在語句塊中,上面語句所賦的變量值不能立即就為下面的語句所用;

B) 塊結(jié)束后才能完成這次賦值操作,而所賦的變量值是上一次賦值得到的;

C) 在編寫可綜合的時序邏輯模塊時,這是最常用的賦值方法。

[注意]:非阻塞賦值符 “ <= ” 與小于等于符 “<= ” 看起來是一樣的,但意義完全不同,小于等于符是關(guān)系運算符,用于比較大小。而非阻塞賦值符用于時序賦值操作。

(2).阻塞(Blocking)賦值方式( 如 b = a; )

A) 賦值語句執(zhí)行完后,塊才結(jié)束;

B) b的值在賦值語句執(zhí)行完后立刻就改變的;

C) 在時序邏輯設(shè)計中使用,可能會產(chǎn)生意想不到的結(jié)果。

非阻塞賦值方式和阻塞賦值方式的區(qū)別常給設(shè)計人員帶來問題。問題主要是給"always"塊內(nèi)的reg型信號的賦值方式不易把握。"always"模塊內(nèi)的reg型信號都是采用下面的這種賦值方式:

b <= a;

這種方式的賦值并不是馬上執(zhí)行的,也就是說 "always" 塊內(nèi)的下一條語句執(zhí)行后,b并不等于a,而是保持原來的值。"always" 塊結(jié)束后,才進行賦值。而另一種賦值方式阻塞賦值方式,如下所示:

b = a;

這種賦值方式是馬上執(zhí)行的。也就是說執(zhí)行下一條語句時,b已等于a。盡管這種方式看起來很直觀,但是可能引起麻煩

總結(jié):

  1. 在Verilog 模塊中所有過程塊(如:initial塊、always塊)、連續(xù)賦值語句、實例引用都是并行的;
  2. 它們表示的是一種通過變量名互相連接的關(guān)系;
  3. 在同一模塊中各個過程塊、各條連續(xù)賦值語句和各條實例引用語句這三者出現(xiàn)的先后次序沒有關(guān)系;
  4. 只有連續(xù)賦值語句(即用關(guān)鍵詞assign引出的語句)和實例引用語句(即用已定義的模塊名引出的語句),可以獨立于過程塊而存在于模塊的功能定義部分;
  5. 被實例引用的模塊其端口可以通過不同名的連線或寄存器類型變量連 接到別的模塊相應(yīng)的輸出輸入信號端;
  6. 在“always”塊內(nèi)被賦值的每一個信號都必須定義成reg型;
  7. 無論是邏輯運算、邏輯比較還是邏輯等式等邏輯操作一般發(fā)生在條件判斷語句中,其輸出只有:1 或 0,也可以理解為成立(真)或不成立(假);
  8. 位拼接運算符{ },在C語言中沒有定義,但在Verilog中是很有用的語法。我們可以借助于拼接符用一個信號名來表示由多位信號組成的復(fù)雜信號,其中每個功能信號可以有自己獨立的名字和位寬;
  9. 縮減運算符(reduction operator)也是C語言所沒有的,合理地使用縮減運算符可以使程序簡潔、明了;
  10. 阻塞和非阻塞賦值也是C語言所沒有的。我們應(yīng)當(dāng)理解這是非常重要的概念,特別在編寫可綜合風(fēng)格的模塊中要加以注意。阻塞語句,如果沒有寫延遲時間看起來是在同一時刻運行,但實際上是有先后的,即在前面的先運行,然后再運行下面的語句,阻塞語句的次序與邏輯行為有很大的關(guān)系。而非阻塞的就不同了,在begin end之間的所有非阻塞語句都在同一時刻被賦值,因此邏輯行為與非阻塞語句的次序就沒有關(guān)系。在硬件實現(xiàn)時這兩者有很大的不同;
  11. begin end 塊語句與C語言中的大括號對(即{})類似,而fork join語句在C語言中沒有定義,但其語義并不難理解。在測試模塊中描述測試信號時常在initial和always過程塊中使用并行塊。這種描述方法,由于時間關(guān)系只與起點比較,有時這樣表達比較容易和清楚。
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 電路設(shè)計
    +關(guān)注

    關(guān)注

    6653

    文章

    2412

    瀏覽量

    202320
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1339

    瀏覽量

    109880
  • HDL
    HDL
    +關(guān)注

    關(guān)注

    8

    文章

    325

    瀏覽量

    47288
  • 數(shù)字系統(tǒng)
    +關(guān)注

    關(guān)注

    0

    文章

    138

    瀏覽量

    20805
收藏 人收藏

    評論

    相關(guān)推薦

    Verilog HDL語言編程基礎(chǔ)與FPGA常用開發(fā)工具

    關(guān)鍵字:Altera 、FPGA、軟硬件協(xié)調(diào)設(shè)計(Verilog & C)、CPU、總線、外設(shè)FPGA硬件結(jié)構(gòu)知識Verilog HDL語言
    發(fā)表于 12-22 08:06

    _Verilog_HDL的基本語法

    Verilog_HDL語言的學(xué)習(xí),為FPGA編程打下堅實的基礎(chǔ)
    發(fā)表于 05-19 16:40 ?12次下載

    FPGA視頻教程之Verilog語法基礎(chǔ)的詳細資料說明

    本文檔的主要內(nèi)容詳細介紹的是FPGA視頻教程之Verilog語法基礎(chǔ)的詳細資料說明資料免費下載
    發(fā)表于 03-01 11:35 ?16次下載
    <b class='flag-5'>FPGA</b>視頻教程之<b class='flag-5'>Verilog</b><b class='flag-5'>語法</b>基礎(chǔ)的詳細資料說明

    FPGA之硬件語法篇:Verilog關(guān)鍵問題解惑

    大家都知道軟件設(shè)計使用軟件編程語言,例如我們熟知的C、Java等等,而FPGA設(shè)計使用的是HDL語言,例如VHDL和Verilog HDL。
    的頭像 發(fā)表于 12-05 07:11 ?1700次閱讀
    <b class='flag-5'>FPGA</b>之硬件<b class='flag-5'>語法</b>篇:<b class='flag-5'>Verilog</b>關(guān)鍵問題解惑

    FPGA視頻教程:Verilog語法基礎(chǔ)

    Verilog與C語言還是存在許多差別。另外,作為一種與普通計算機編程語言不同的硬件描述語言,它還具有一些獨特的
    的頭像 發(fā)表于 12-11 07:02 ?1687次閱讀
    <b class='flag-5'>FPGA</b>視頻教程:<b class='flag-5'>Verilog</b><b class='flag-5'>語法</b>基礎(chǔ)

    fpga用什么編程語言_fpga的作用

    經(jīng)??吹讲簧偃嗽谡搲锇l(fā)問,FPGA是不是用C語言開發(fā)的?國外有些公司專注于開發(fā)解決編譯器這方面問題,目的讓其能夠達到用C語言替代VHDL語言的目的,也開發(fā)出了一些支持用c
    發(fā)表于 07-29 16:37 ?2.4w次閱讀

    Verilog編程語言

    知乎上刷到一個問題,問性能最強的編程語言是什么?看到高贊回答到是Verilog,然后在評論區(qū)就引發(fā)了一場Verilog到底算不算編程
    的頭像 發(fā)表于 08-23 14:30 ?6100次閱讀

    FPGA編程語言——verilog語法詳解

    一個復(fù)雜電路系統(tǒng)的完整Verilog HDL模型是由若干個Verilog HDL模塊構(gòu)成的,每一個模塊又可以由若干個子模塊構(gòu)成。其中有些模塊需要綜合成具體電路,而有些模塊只是與用戶所設(shè)計的模塊有交互聯(lián)系的現(xiàn)存電路或激勵信號源。
    的頭像 發(fā)表于 02-02 10:03 ?9901次閱讀

    FPGA編程語言之verilog語法1

    Verilog HDL是一種用于數(shù)字系統(tǒng)設(shè)計的語言。用Verilog HDL描述的電路設(shè)計就是該電路的Verilog HDL模型也稱為模塊。Veri
    的頭像 發(fā)表于 05-22 15:52 ?788次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>編程</b><b class='flag-5'>語言之</b><b class='flag-5'>verilog</b><b class='flag-5'>語法</b>1

    從仿真器的角度理解Verilog語言2

    要想深入理解Verilog就必須正視Verilog語言同時具備硬件特性和軟件特性。在當(dāng)下的教學(xué)過程中,教師和教材都過于強調(diào)Verilog語言
    的頭像 發(fā)表于 05-25 15:10 ?786次閱讀
    從仿真器的角度理解<b class='flag-5'>Verilog</b><b class='flag-5'>語言</b><b class='flag-5'>2</b>

    fpga芯片用什么編程語言

    FPGA芯片主要使用的編程語言包括Verilog HDL和VHDL。這兩種語言都是硬件描述語言
    的頭像 發(fā)表于 03-14 16:07 ?1380次閱讀

    fpga用的是什么編程語言 fpga用什么語言開發(fā)

    fpga用的是什么編程語言 FPGA(現(xiàn)場可編程邏輯門陣列)主要使用的編程
    的頭像 發(fā)表于 03-14 17:09 ?3147次閱讀

    fpga用什么語言編程

    FPGA(現(xiàn)場可編程門陣列)的編程主要使用硬件描述語言(HDL),其中最常用的是Verilog HDL和VHDL。
    的頭像 發(fā)表于 03-14 18:17 ?2427次閱讀

    fpga是用c語言還是verilog

    FPGA(現(xiàn)場可編程邏輯門陣列)開發(fā)主要使用的編程語言是硬件描述語言(HDL),其中Verilog
    的頭像 發(fā)表于 03-27 14:38 ?1545次閱讀

    FPGA編程語言的入門教程

    FPGA(現(xiàn)場可編程邏輯門陣列)的編程涉及特定的硬件描述語言(HDL),其中Verilog和VHDL是最常用的兩種。以下是一個
    的頭像 發(fā)表于 10-25 09:21 ?39次閱讀