0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

淺談System Verilog的DPI機制

冬至子 ? 來源:IC驗證者之家 ? 作者:一只特立獨行的豬 ? 2023-05-23 15:39 ? 次閱讀

在上一篇《淺談建模的意義和驗證的危機》中,曾大肆鼓吹“對于認知DUT,行為建模既是方法,也是目的”,“心中沒有她,又怎能待好她”,呼吁IC驗證者要通過手寫DUT的reference model來實現(xiàn)對DUT的規(guī)格特性的充分理解。然而,此篇內(nèi)容卻涉及調(diào)用第三方的代碼作為reference model。此刻耳畔仿佛想起了啪啪的打臉之聲。

System Verilog(SV)把其他編程語言統(tǒng)一成為外語,F(xiàn)oreign Programming Language(FPL)。Direct Programming Interface(DPI)是SV定義的一種專門集成外語代碼和處理SV之外的業(yè)務功能的機制,主要負責和廣大的外部語言代碼進行溝通和交涉。如果用西安的各所大學來比喻SV的各個機制功能,那么DPI對應的大致就是西安外事學院了。

海納百川,有容乃大是川大的校訓。SV的制定者希望Test Bench能兼濟包容,也不希望所有組件和代碼都只能是由SV語言開發(fā)。并且不得不承認,通過集成C/C++/Matlab的代碼,會縮短搭建驗證平臺的時間,減少IC驗證者的工作量。

在DPI機制中,仿真系統(tǒng)的資源被分成獨立的兩部分:SV-domain(SV語言區(qū)域)和FPL-domain(其他語言區(qū)域)。這些資源包括緩存數(shù)據(jù)和變量的存儲資源,也包括程序編譯鏈接和執(zhí)行的運行資源。在驗證平臺中調(diào)用FPL程序代碼時要遵循黑盒原則,即FPL代碼涉及的業(yè)務功能是獨立的,與SV部分要充分解耦。在SV和FPL中無法直接訪問對方代碼中的變量。

他們就像白天和黑夜。雖然黑夜給了我們黑色眼睛,我們也曾用它去尋找光明,但是黑夜永遠不懂光明,正如白天不懂夜色的黑。

image.png

System Verilog 3.1版本支持的FPL只有C。其他語言可以通過C寫的wrapper包裝一下實現(xiàn)跟SV的通信

DPI專門定義了一些數(shù)據(jù)類型,這些數(shù)據(jù)類型與SV的多種數(shù)據(jù)有對應關(guān)系。在C程序的接口處,使用這些專門定義的參數(shù),利用數(shù)據(jù)類型的對應關(guān)系,實現(xiàn)SV-domain和C-domain的通信。為了便于使用,SV還為某些重要的數(shù)據(jù)類型,量身定制了一系列的操作和處理函數(shù)。如何使用這些數(shù)據(jù)類型和相應的操作函數(shù)實現(xiàn)兩個domain的數(shù)據(jù)傳遞和轉(zhuǎn)換,是DPI關(guān)鍵技術(shù)點,也是本文的干貨所在。

通常情況,C的函數(shù)都是不消耗仿真時間,即延時是0,瞬間完成,就像在SV中調(diào)用function一樣。只不過這個function的端口類型只有input,output和inout,不支持ref。

為了把C語言的數(shù)據(jù)類型能映射到SV語言,SV定義了一個文件svdpi.h。在該文件中定義了許多的數(shù)據(jù)類型,這些類型基本可以和C語言的數(shù)據(jù)類型一一對應。

image.png

上面表格是我挑出的比較重要的幾種數(shù)據(jù)類型,而其中比較重要的是open array[]。

綠皮書有一章節(jié)(12.5)專門介紹它,在svdpi.h中也專門為它定義了很多的微操函數(shù)。

在C語言里面,函數(shù)接口的參數(shù)會劃分方向,對于輸入的變量,加上const,一旦在C程序中,對輸入變量進行賦值操作,編譯器會報錯。我覺得犯這種錯誤的概率太低,沒必要加。問道于何同學,覺得這種錯誤還是比較常見的,建議加上。

image.png

在SV角度看,open array[ ]就是動態(tài)數(shù)組(dynamic array)。SV之所以選擇動態(tài)數(shù)組來進行SV和C之間的數(shù)據(jù)內(nèi)容傳遞,是因為動態(tài)數(shù)組的深度可以靈活改變。只要在定義dpi接口的時候,把動態(tài)數(shù)組的名稱和C的對應的數(shù)組關(guān)聯(lián)上,那么只需要在不同的場景中,通過動態(tài)的改變動態(tài)數(shù)組的深度,就可實現(xiàn)SV和C的數(shù)據(jù)傳遞。

隊列(queue)表示有意見,嘟嘟囔囔的撅著小嘴問,為啥不選我?

先坐下吧,閔老師扶了扶眼鏡說,SV和C的數(shù)據(jù)存儲雖然都放在內(nèi)存中,但是系統(tǒng)需要把它們倆的存儲空間分開,最忌諱內(nèi)存越界。動態(tài)數(shù)組在每次使用之前,需要先通過new[N]來分配空間,之后動態(tài)數(shù)組的內(nèi)存空間就確定了。然而隊列的存儲空間是一直可變的,SV雖然會初始時刻給你分配一定空間,但是當元素把空間裝滿后,SV會自動分配更多的空間。這樣就容易造成內(nèi)存越界。

關(guān)聯(lián)數(shù)組(associative array)也想發(fā)言,把肥嘟嘟的小手舉得高高的。

先把手放下來,閔老師笑著輕輕擺了擺手,你太離散了,存儲的都是稀疏和分散的數(shù)據(jù),SV仿真器要采用tree或hash table的方式來存放,會帶來額外的存儲開銷。

以上就是DPI的相關(guān)背景介紹。干說不練假把式,我們舉個例子吧。

如下圖所示,peak_find是一個matlab函數(shù),輸入變量signal包含多個無符號數(shù)據(jù),經(jīng)過一系列運算和處理,輸出4個無符號數(shù)據(jù)到變量peak_two之中。

image.png

將該函數(shù)集成到SV的Test Bench中,使用matlab engine的方式需要定義一個c程序?qū)⑵浒饋?,名稱如下所示。

void peak_find_dpi(const svOpenArrayHandle signal_i, svOpenArrayHandle peak_two_o);

其接口參數(shù)就用到了開放數(shù)組。

該C函數(shù)可分為如下幾步:

image.png

圖4 dpi.c的流程圖

站在SV角度看,C程序是外來的,是舶來品,需要“進口”,即import。代碼只需一行: import “DPI-C” function void name_of_your_c_function();

假如我們國家只能進口美國的商品,其他國家的貨物就得都先到美國,再來中國。同樣的道理,用matlab engine的方式,調(diào)用matlab程序,得用C程序先包裝一下。

matlab程序如此,C++程序亦是如此。

對于C程序,仿真時通常是用GCC編譯,而matlab程序是用到了mathWorks公司的MATLAB工具,SV仿真需要用到Synopsys公司的VCS工具。夜路走的多了難免遇到鬼,工具用的多了也難免有版本問題。

so文件報錯是一個典型的工具版本不一致引入的問題。如下圖所示。這個問題其實是IT問題,不是IC驗證技術(shù)問題,但是它跟IC驗證息息相關(guān)。

image.png

就像神農(nóng)嘗百草,梅同學通過嘗試多個版本的MATLAB,GCC和VCS,最終發(fā)現(xiàn)GCC4.8.5+MATLAB2015B+2017以上VCS的組合可以比較穩(wěn)妥。同時把/usr/lib64/libstdc++.so也要更新到6.0.21。遂搞定這個問題。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1335

    瀏覽量

    109857
  • C語言
    +關(guān)注

    關(guān)注

    180

    文章

    7581

    瀏覽量

    135582
  • VCS
    VCS
    +關(guān)注

    關(guān)注

    0

    文章

    78

    瀏覽量

    9564
  • DPI
    DPI
    +關(guān)注

    關(guān)注

    0

    文章

    36

    瀏覽量

    11495
  • DUT
    DUT
    +關(guān)注

    關(guān)注

    0

    文章

    189

    瀏覽量

    12273
收藏 人收藏

    評論

    相關(guān)推薦

    淺談公共機房樣機制作及日常維護

    淺談公共機房樣機制作及日常維護隨著電腦和網(wǎng)絡的應用日益普及, 電腦在日常生活中扮演著越來越重要的角色,而學校的教育,無論是高校還是中小學,公共機房都是一個不可缺少的教學實踐基礎硬件設備。而如何高效
    發(fā)表于 10-10 15:04

    調(diào)整屏幕DPI和旋轉(zhuǎn)方向

    =noro.sf.lcd_density=200保存更改再重啟開發(fā)板即可。要恢復為開機模屏顯示,使用光盤中的原始版本,或反向操作即可。更改屏幕DPI打開文件系統(tǒng)下的 /system/build.prop,將如
    發(fā)表于 07-15 10:11

    調(diào)整屏幕DPI和旋轉(zhuǎn)方向

    =noro.sf.lcd_density=200保存更改再重啟開發(fā)板即可。要恢復為開機模屏顯示,使用光盤中的原始版本,或反向操作即可。更改屏幕DPI打開文件系統(tǒng)下的/system/build.prop,將如下行:setprop
    發(fā)表于 10-10 16:13

    Modelsim XE是否支持System Verilog

    information:-1.Does itsupport System verilog forverification?2. Code coverage support.3.Assertion support.Whichversionof Modelsim XE su
    發(fā)表于 11-27 14:20

    System Verilog常見問題及語言參考手冊規(guī)范

    本文討論了一些System Verilog問題以及相關(guān)的SystemVerilog 語言參考手冊規(guī)范。正確理解這些規(guī)格將有助于System Verilog用戶避免意外的模擬結(jié)果。
    發(fā)表于 12-11 07:19

    System Verilog問題和語言參考手冊規(guī)范

    本文討論了一些System Verilog問題以及相關(guān)的SystemVerilog 語言參考手冊規(guī)范。正確理解這些規(guī)格將有助于System Verilog用戶避免意外的模擬結(jié)果。
    發(fā)表于 12-24 07:07

    求大佬分享一些System Verilog的學習經(jīng)驗

    求大佬分享一些System Verilog的學習經(jīng)驗
    發(fā)表于 06-21 06:29

    Verilog Digital System Design

    This book is on the IEEE Standard Hardware Description Languagebased on the Verilog® Hardware
    發(fā)表于 07-23 08:59 ?0次下載
    <b class='flag-5'>Verilog</b> Digital <b class='flag-5'>System</b> Design

    VHDL,Verilog,System verilog比較

    本文簡單討論并總結(jié)了VHDL、Verilog,System verilog 這三中語言的各自特點和區(qū)別 As the number of enhancements
    發(fā)表于 01-17 11:32 ?0次下載

    基于System Verilog中的隨機化激勵

    基于System Verilog中的隨機化激勵
    發(fā)表于 10-31 09:25 ?9次下載
    基于<b class='flag-5'>System</b> <b class='flag-5'>Verilog</b>中的隨機化激勵

    淺談Verilog-95、Verilog-2001與System Verilog之間的區(qū)別

    發(fā)展歷史 1984年,Verilog開始作為一種專用的硬件建模語言使用,取得了相當大的成功。1990年,Cadence Design Systems公司將該語言面向公眾開放,作為試圖與VHDL相抗衡
    的頭像 發(fā)表于 06-21 14:46 ?1w次閱讀

    System Verilogverilog的對比

    SystemVerilog語言簡介 SystemVerilog是一種硬件描述和驗證語言(HDVL),它基于IEEE1364-2001 Verilog硬件描述語言(HDL),并對其進行了擴展,包括擴充
    的頭像 發(fā)表于 09-28 17:12 ?3376次閱讀

    學會這些System Verilog方法,芯片驗證入門沒問題

    一個掌握Verilog語言的工程師初次看SystemVerilog都會有這樣的感受,這就是Verilog啊,很容易啊,So easy啊。沒錯,確實是這樣,System Verilog
    的頭像 發(fā)表于 12-09 15:08 ?3394次閱讀

    System Verilog的概念以及與Verilog的對比

    Verilog模塊之間的連接是通過模塊端口進行的。 為了給組成設計的各個模塊定義端口,我們必須對期望的硬件設計有一個詳細的認識。 不幸的是,在設計的早期,我們很難把握設計的細節(jié)。 而且,一旦模塊
    的頭像 發(fā)表于 06-12 10:05 ?1319次閱讀

    verilog與其他編程語言的接口機制

    Verilog是一種硬件描述語言,用于描述數(shù)字電路的行為和結(jié)構(gòu)。與其他編程語言相比,Verilog具有與硬件緊密結(jié)合的特點,因此其接口機制也有一些與眾不同之處。本文將詳細介紹Verilog
    的頭像 發(fā)表于 02-23 10:22 ?545次閱讀