0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何在自己的電腦上跑一個(gè)UVM_demo呢?

冬至子 ? 來(lái)源:多讀點(diǎn)書(shū) ? 作者:夕文x ? 2023-05-26 15:11 ? 次閱讀

1 運(yùn)行前準(zhǔn)備

1.1 系統(tǒng)要求

  • win10(理論上可以安裝下方modelsim軟件的window平臺(tái)都可以)
  • modelsim 2019.2(本次展示使用的modelsim版本為2019.2,理論上所以支持UVM1.1.的modelsim都可以)

1.2 modelsim 2019.2軟件的安裝

modelsim軟件的下載和安裝,在網(wǎng)上很容易搜到,例如BING搜索“modelsim 2019.2”,很容易獲得,依據(jù)相應(yīng)教程安裝即可

圖片

1.3 驗(yàn)證modelsim 是否安裝成功

  1. 確保添加了LECENSE,并設(shè)置好了環(huán)境變量
  2. 在cmd窗口中輸入 vsim -c 命令,出現(xiàn)如下箭頭指示的** ModelSim>** 輸入提示符號(hào)時(shí),說(shuō)明安裝成功。

圖片

2 UVM demo源碼的移植

2.1 獲取書(shū)籍配套源碼

圖片

  • puvm中為書(shū)籍UVM案例源碼,src目錄下存儲(chǔ)了《UVM實(shí)戰(zhàn)》書(shū)籍各個(gè)章節(jié)對(duì)應(yīng)的源碼,這也是我們復(fù)現(xiàn)書(shū)籍內(nèi)容真正需要的源碼。
  • uvm-1.1d中為UVM庫(kù)中的內(nèi)容,用來(lái)支持UVM的使用,在安裝modelsim后,在modelsim安裝目錄下也會(huì)包含這樣一個(gè)目錄,如下圖所示,所以我們只是使用UVM的話,并不需要關(guān)心該內(nèi)容。

2.2 以2.5.2節(jié)源碼為例進(jìn)行移植

注意:如果復(fù)現(xiàn)困難,文末提供了項(xiàng)目源碼,可自取

  1. 將puvm\\src\\ch2\\section2.5\\2.5.2和puvm\\src\\ch2\\dut復(fù)制到同一個(gè)目錄(這里是UVM_demo)下,如圖

圖片

  1. 修改UVM_demo\\2.5.2\\filelist.f文件內(nèi)容為:
../dut/dut.sv
top_tb.sv
  1. 新建UVM_demo\\2.5.2\\run_gui.bat文件,并寫(xiě)入如下內(nèi)容:
vlib work
vlog -f filelist.f
vsim top_tb +UVM_TESTNAME=base_test -do "run -all;exit" -l top_tb.log -voptargs=+acc
  1. 另:效率考慮,還提供了命令行運(yùn)行的run_cmd.bat腳本,清理生成文件的clean.bat腳本。

3 UVM demo的運(yùn)行

3.1 以gui方式運(yùn)行demo

  1. 進(jìn)入U(xiǎn)VM_demo\\2.5.2\\目錄,鼠標(biāo)雙擊run_gui.bat,
  2. 然后在彈出的Finish Vsim窗口點(diǎn)擊“否”
  3. 查看modelsim的transcript窗口,通過(guò)報(bào)告,可以看到,demo運(yùn)行通過(guò)。
  4. 通過(guò)鼠標(biāo)雙擊clean.bat,可以刪除生成的文件。

圖片

3.2 以命令行方式運(yùn)行demo

  1. 進(jìn)入U(xiǎn)VM_demo\\2.5.2\\目錄,鼠標(biāo)雙擊run_cmd.bat,
  2. 通過(guò)cmd窗口顯示的報(bào)告,可以看到,demo運(yùn)行通過(guò)。
  3. 通過(guò)鼠標(biāo)雙擊clean.bat,可以刪除生成的文件。

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    181

    瀏覽量

    19114
  • GUI系統(tǒng)
    +關(guān)注

    關(guān)注

    0

    文章

    3

    瀏覽量

    5908
  • MODELSIM仿真
    +關(guān)注

    關(guān)注

    0

    文章

    15

    瀏覽量

    7271
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    RISC-V SoC + AI | 在全志 D1「哪吒」開(kāi)發(fā)板,個(gè) ncnn 神經(jīng)網(wǎng)絡(luò)推理框架的 demo

    的為 RISC-V 架構(gòu)做過(guò)適配和優(yōu)化的神經(jīng)網(wǎng)絡(luò)框架。 本文 是份教程,步驟騎著步驟 (step by step) 地展示了如何在塊全新的全志 D1「哪吒」開(kāi)發(fā)板
    發(fā)表于 02-26 10:51

    何在電腦安裝RT系統(tǒng)?

    老板買(mǎi)了片F(xiàn)PGA,使用LabVIEW編程,一臺(tái)電腦做上位機(jī),一臺(tái)電腦RT。請(qǐng)教哪里有教程在電腦安裝RT系統(tǒng)
    發(fā)表于 10-20 16:06

    何在simv sim_opts中使用uvm_set_verbosity

    -uvm_set_verbosity本文只介紹如何在simv sim_opts中使用uvm_set_verbosity。
    發(fā)表于 12-18 06:42

    數(shù)字IC驗(yàn)證之“什么是UVM”“UVM的特點(diǎn)”“UVM提供哪些資源”(2)連載中...

    在搭建平臺(tái)結(jié)構(gòu)省去了不少時(shí)間,而且在使用其他工程師提供的uvm平臺(tái)時(shí)顯得得心應(yīng)手。uvm為用戶提供了個(gè)標(biāo)準(zhǔn)的驗(yàn)證平臺(tái)的模板,因此,所有基
    發(fā)表于 01-21 16:00

    代碼是如何在單片機(jī)上

    淺談:對(duì)于任何電子產(chǎn)品,硬件是軟件運(yùn)行的基礎(chǔ),而軟件賦予了硬件靈魂,兩者有機(jī)結(jié)合成為個(gè)功能豐富、強(qiáng)大的系統(tǒng)。我們寫(xiě)的代碼是如何在單片機(jī)上
    發(fā)表于 11-17 07:47

    何在STM32一個(gè)串口指令模式

    串口指令模式有何優(yōu)勢(shì)?如何在STM32一個(gè)串口指令模式?
    發(fā)表于 12-07 06:48

    何在自己的Windows電腦中運(yùn)行l(wèi)inux系統(tǒng)

    的簡(jiǎn)單命令3.vim4.vim的使用5.配置vim5.1基本配置5.2快捷編譯執(zhí)行5.3快捷保存退出1.嵌入式環(huán)境搭建如何在自己的Windows電腦中運(yùn)行l(wèi)inux系統(tǒng)?你需要
    發(fā)表于 12-17 06:13

    何在塊全新的全志D1開(kāi)發(fā)板個(gè)ncnn神經(jīng)網(wǎng)絡(luò)推理框架的demo?

    本文是份教程,步驟騎著步驟 (step by step) 地展示了如何在塊全新的全志 D1「哪吒」開(kāi)發(fā)板
    發(fā)表于 12-28 07:29

    什么是uvmuvm的特點(diǎn)有哪些

    直觀的印象,就是uvm驗(yàn)證平臺(tái),它是分層的結(jié)構(gòu)。圖中的每一個(gè)巨型框都代表著平臺(tái)的個(gè)構(gòu)成元素。這些元素,我們稱為平臺(tái)組建,下面來(lái)簡(jiǎn)單的分析
    發(fā)表于 02-14 06:46

    LX110T的板子demo的時(shí)候遇到的些錯(cuò)誤的解決辦法總結(jié)

    .前段時(shí)間在玩xilinx送我在XUPV5-LX110T,首先跑xilinx給出的XUPV5-LX110T的demo設(shè)計(jì),結(jié)果發(fā)現(xiàn)遇到了些錯(cuò)誤但是
    發(fā)表于 02-11 15:30 ?1978次閱讀

    何在鴻蒙系統(tǒng)個(gè)ArkUI應(yīng)用

    ? ? ? 大家可以看到很明顯這是個(gè) ArkUI 的應(yīng)用,在遠(yuǎn)程模擬器目前還只可以在 P40 Pro 。 ?? 其實(shí)為了這個(gè)目的,只
    的頭像 發(fā)表于 11-15 09:28 ?2842次閱讀
    如<b class='flag-5'>何在</b>鴻蒙系統(tǒng)<b class='flag-5'>上</b>弄<b class='flag-5'>一</b><b class='flag-5'>個(gè)</b>ArkUI應(yīng)用

    何在xWR1xxx芯片運(yùn)行mmw demo

    何在xWR1xxx芯片運(yùn)行mmw demo
    發(fā)表于 11-01 08:27 ?0次下載
    如<b class='flag-5'>何在</b>xWR1xxx芯片<b class='flag-5'>上</b>運(yùn)行mmw <b class='flag-5'>demo</b>

    何在ATECLOUD平臺(tái)中搭建個(gè)簡(jiǎn)單的測(cè)試demo

    ATECLOUD平臺(tái)搭建個(gè)簡(jiǎn)單的測(cè)試項(xiàng)目demo。 我們以測(cè)試啟動(dòng)電壓的demo搭建為例。 1.?在項(xiàng)目維護(hù)界面點(diǎn)擊新增項(xiàng)目。 2.?在新增的項(xiàng)目頁(yè)面的左側(cè)可以自行添加測(cè)試名稱以及各
    的頭像 發(fā)表于 03-28 16:13 ?922次閱讀
    如<b class='flag-5'>何在</b>ATECLOUD平臺(tái)中搭建<b class='flag-5'>一</b><b class='flag-5'>個(gè)</b>簡(jiǎn)單的測(cè)試<b class='flag-5'>demo</b>

    我的第二個(gè)UVM代碼—連接interface

    寫(xiě)testbench最最核心的部分就是發(fā)激勵(lì),今天用個(gè)簡(jiǎn)單的示例來(lái)介紹如何在uvm里給RTL發(fā)激勵(lì)。
    的頭像 發(fā)表于 06-15 10:51 ?1460次閱讀
    我的第二<b class='flag-5'>個(gè)</b><b class='flag-5'>UVM</b>代碼—連接interface

    有沒(méi)有辦法像debug RTL代碼樣將UVM中變量拉到波形?

    我們常用的debug UVM的方法是通過(guò)打印log實(shí)現(xiàn)。有沒(méi)有辦法像 debug RTL代碼樣將 UVM 中變量拉到波形?答案是有的
    的頭像 發(fā)表于 06-29 15:14 ?1311次閱讀
    有沒(méi)有辦法像debug RTL代碼<b class='flag-5'>一</b>樣將<b class='flag-5'>UVM</b>中變量拉到波形<b class='flag-5'>上</b>看<b class='flag-5'>呢</b>?