0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

驗(yàn)證IP用戶的期望:事務(wù)建模

星星科技指導(dǎo)員 ? 來(lái)源:synopsys ? 作者:S. Prashanth ? 2023-05-29 10:09 ? 次閱讀

在開(kāi)發(fā)和使用驗(yàn)證IP中都發(fā)揮了作用,我認(rèn)為交易類是VIP中最重要的組成部分。事務(wù)類的質(zhì)量定義了 VIP 的質(zhì)量。無(wú)論是UVM還是任何其他方法,決定交易類結(jié)構(gòu)都需要充分的規(guī)劃,因?yàn)樗鼤?huì)影響整個(gè)VIP架構(gòu)和驗(yàn)證環(huán)境。

讓我列出一些我認(rèn)為相關(guān)的準(zhǔn)則:

協(xié)議的所有變體都應(yīng)在事務(wù)類中定義。這使得事務(wù)類可用于生成各種可能的激勵(lì)(同時(shí)驅(qū)動(dòng)DUT)。然后,監(jiān)視器的總線功能模型還能夠從 DUT 接口中提取信息并填充事務(wù)類的相關(guān)字段。特別是對(duì)于總線協(xié)議,我們應(yīng)該在事務(wù)類中具有隨機(jī)屬性,不僅用于信號(hào)值,還用于所有可能的延遲。這將使生成器能夠使用約束隨機(jī)生成來(lái)模擬不同的速度,并在交易中創(chuàng)建不同的延遲。然后,BFM 可以使用事務(wù)類中嵌入的信息,而不是自行生成任何信息。

pYYBAGR0COqAJmdwAAFKQ3-xOo0722.png

分層與扁平化事務(wù)模型:扁平化事務(wù)易于維護(hù),并且更容易添加新約束。但是,當(dāng)協(xié)議很復(fù)雜并且需要在程序上隨機(jī)化字段時(shí),最好讓父類實(shí)例化子類對(duì)象數(shù)組。
在下面的示例中,AXI 突發(fā)類實(shí)例化特定于突發(fā)的每個(gè)節(jié)拍的數(shù)據(jù)類隊(duì)列。生成突發(fā)字段后,將在突發(fā)的 post randomize() 方法中生成數(shù)據(jù)字段。如果此事務(wù)模型被平展,則axi_data類的所有字段都將是axi_burst類中的數(shù)組。這里的缺點(diǎn)是什么?如果必須生成隨機(jī)突發(fā),則所有數(shù)組都將并行生成。如果您有復(fù)雜的約束,這可能會(huì)導(dǎo)致額外的性能開(kāi)銷。

poYBAGR0CO6ACE4XAAJs20uZJn8146.png

配置信息應(yīng)該可以從事務(wù)類中訪問(wèn)。任何可重用的 VIP 都必須是可配置的,并且通常具有與之關(guān)聯(lián)的配置描述符。不同的交易需要根據(jù)VIP的配置生成信息。因此,通過(guò)分層選項(xiàng) (VMM) 或資源/配置數(shù)據(jù)庫(kù) (UVM) 將 VIP 配置句柄作為引用傳遞給事務(wù)。

poYBAGR0CPKALfTjAAHkm_ZBYn4172.png

提供一組豐富的實(shí)用程序方法:UVM 提供了一組預(yù)定義的宏,這些宏定義了事務(wù)中的復(fù)制、比較、顯示等實(shí)用程序方法。特別是對(duì)于驗(yàn)證IP中使用的事務(wù),與要驗(yàn)證的總線協(xié)議相關(guān)的其他實(shí)用程序方法可能非常有用。這可用于 VIP 的不同組件。在下面的AXI示例中,方法get_trans_addr_by_idx()計(jì)算并提供“數(shù)據(jù)節(jié)拍”的地址,用戶不必?fù)?dān)心“突發(fā)”是包裝型、固定型還是增量型。類似地,你可以有一個(gè) get_data() 方法,它可以提供一個(gè)字節(jié)隊(duì)列以及相應(yīng)的數(shù)據(jù)。因此,用戶無(wú)需在其記分牌中單獨(dú)計(jì)算此信息。

pYYBAGR0CPaAf_zZAAIc_K316dI140.png

我們可以進(jìn)一步完善這些。最后,重要的是要知道構(gòu)建有效的事務(wù)模型將產(chǎn)生高效的驗(yàn)證IP。在這里,我放下了我的想法。根據(jù)要驗(yàn)證的協(xié)議和所涉及的復(fù)雜性,不同的人可能希望以不同的方式構(gòu)建他們的交易類。但是,我相信上述準(zhǔn)則可以納入當(dāng)今使用的大多數(shù)協(xié)議中。希望這對(duì)您有用,我絕對(duì)有興趣知道您對(duì)這個(gè)主題的看法。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • IP
    IP
    +關(guān)注

    關(guān)注

    5

    文章

    1584

    瀏覽量

    149156
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    181

    瀏覽量

    19114
  • AXI
    AXI
    +關(guān)注

    關(guān)注

    1

    文章

    127

    瀏覽量

    16558
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    關(guān)于功能驗(yàn)證、時(shí)序驗(yàn)證、形式驗(yàn)證、時(shí)序建模的論文

    設(shè)計(jì)的驗(yàn)證平臺(tái),以此來(lái)提高工作效率。論文介紹了FF-DX地址計(jì)算部件的時(shí)序建模和靜態(tài)時(shí)序分析方法。在靜態(tài)時(shí)序分析之后,將SDF文件中的延時(shí)信息反標(biāo)到邏輯網(wǎng)表中,通過(guò)動(dòng)態(tài)時(shí)序驗(yàn)證進(jìn)一步保證設(shè)計(jì)的時(shí)序收斂
    發(fā)表于 12-07 17:40

    用戶驗(yàn)證問(wèn)題

    我是待驗(yàn)證用戶組,想要成為驗(yàn)證用戶??墒谴嬖谝粋€(gè)問(wèn)題,我不知道怎么驗(yàn)證。打開(kāi)用戶組界面,點(diǎn)擊
    發(fā)表于 11-08 10:23

    Saber軟件功率MOSFET自建模與仿真驗(yàn)證

    利用功率MOSFET手冊(cè),利用Saber軟件里的自建模工具對(duì)功率MOSFET進(jìn)行建模,并仿真驗(yàn)證其性能。淘寶上搜索“功率MOSFET建模”,則可看到課程鏈接。
    發(fā)表于 04-12 20:43

    什么是IP開(kāi)發(fā)及FPGA建模

    隨著系統(tǒng)級(jí)芯片技術(shù)的出現(xiàn),設(shè)計(jì)規(guī)模正變得越來(lái)越大,因而變得非常復(fù)雜,同時(shí)上市時(shí)間也變得更加苛刻。通常RTL已經(jīng)不足以擔(dān)當(dāng)這一新的角色。那么我們就需要弄明白,什么是IP開(kāi)發(fā)及FPGA建模?
    發(fā)表于 08-01 07:41

    《TCP-IP詳解_卷3_TCP事務(wù)協(xié)議,HTTP,NNTP

    《TCP-IP詳解_卷3_TCP事務(wù)協(xié)議,HTTP,NNTP和UNIX域協(xié)議》
    發(fā)表于 03-24 22:42 ?39次下載

    基于VHDL語(yǔ)言的IP驗(yàn)證

    探討了IP 核的驗(yàn)證與測(cè)試的方法及其和VHDL 語(yǔ)言在IC 設(shè)計(jì)中的應(yīng)用,并給出了其在RISC8 框架CPU 核中的下載實(shí)例。關(guān)鍵詞:IP 核;片上系統(tǒng);驗(yàn)證
    發(fā)表于 06-15 10:59 ?32次下載

    基于SystemC事務(wù)級(jí)的建模仿真研究

    事務(wù)級(jí)(Transaction-Level,TL)建模是SystemC 中提出的一種新型高層次建模方法,以CoCentric System Studio(CCSS)作為SystemC 仿真工具,以一個(gè)
    發(fā)表于 07-30 15:27 ?24次下載

    一種基于事務(wù)的SoC功能驗(yàn)證方法

    本文介紹了基于事務(wù)的SoC驗(yàn)證方法,詳細(xì)說(shuō)明了事務(wù)、事務(wù)處理器的概念和事務(wù)級(jí)驗(yàn)證平臺(tái)的功能結(jié)構(gòu)。
    發(fā)表于 02-24 11:44 ?8次下載

    基于事務(wù)斷言驗(yàn)證及SDH芯片驗(yàn)證平臺(tái)

    提出了基于事務(wù)斷言驗(yàn)證技術(shù),用屬性說(shuō)明語(yǔ)言(Property Specification Language,PSL)描述系統(tǒng)的屬性,用事務(wù)進(jìn)行系統(tǒng)的驗(yàn)證,通過(guò)編程語(yǔ)言接口機(jī)理和工具控制
    發(fā)表于 08-02 17:26 ?0次下載

    基于OVM驗(yàn)證平臺(tái)的IP芯片驗(yàn)證

      芯片驗(yàn)證的工作量約占整個(gè)芯片研發(fā)的70%,已然成為縮短芯片上市時(shí)間的瓶頸。應(yīng)用OVM方法學(xué)搭建SoC設(shè)計(jì)中的DMA IP驗(yàn)證平臺(tái),可有效提高驗(yàn)證效率。
    發(fā)表于 06-20 09:03 ?2807次閱讀

    符合驗(yàn)證方法手冊(cè)VMM的基于SystemVerilog事務(wù)的測(cè)試平臺(tái)詳細(xì)介紹

    本文描述了一個(gè)符合驗(yàn)證方法手冊(cè)(VMM)的基于SystemVerilog事務(wù)的測(cè)試平臺(tái),并通過(guò)實(shí)例說(shuō)明了使用基于事務(wù)的方法創(chuàng)建一個(gè)全面的約束隨機(jī)驗(yàn)證環(huán)境中的VMM方法。這包括交易的生成
    發(fā)表于 05-28 08:00 ?2次下載
    符合<b class='flag-5'>驗(yàn)證</b>方法手冊(cè)VMM的基于SystemVerilog<b class='flag-5'>事務(wù)</b>的測(cè)試平臺(tái)詳細(xì)介紹

    事務(wù)級(jí)建模使IP加速

    硬件和軟件及其交互的功能驗(yàn)證是系統(tǒng)項(xiàng)目關(guān)鍵路徑上的另一項(xiàng)任務(wù)。SoC 和軟件中不斷增加的功能范圍正在提高設(shè)計(jì)復(fù)雜性,并以指數(shù)方式推高功能驗(yàn)證成本。此外,大多數(shù)當(dāng)前的設(shè)計(jì)流程將設(shè)計(jì)捕獲為寄存器傳輸級(jí)別
    的頭像 發(fā)表于 06-09 16:14 ?956次閱讀
    <b class='flag-5'>事務(wù)</b>級(jí)<b class='flag-5'>建模</b>使<b class='flag-5'>IP</b>加速

    ESL設(shè)計(jì)的核心——事務(wù)級(jí)建模介紹

    設(shè)計(jì)、軟硬件劃分、軟硬件協(xié)同設(shè)計(jì)和驗(yàn)證,都離不開(kāi)事務(wù)級(jí)建模。 在系統(tǒng)級(jí)的設(shè)計(jì)中,首先要解決的問(wèn)題是如何描述系統(tǒng)也就是所謂系統(tǒng)建模。在當(dāng)前的集成電路設(shè)計(jì)中,算法層次上建立的功能模型(AL
    的頭像 發(fā)表于 11-02 14:38 ?1196次閱讀

    ESL設(shè)計(jì)中事務(wù)級(jí)建模的一般理論

    在更詳細(xì)地描述事務(wù)級(jí)建模之前,首先介紹在事務(wù)級(jí)建模中用到的一些術(shù)語(yǔ)。首先事務(wù)表示數(shù)據(jù)和事件的交換過(guò)程。各個(gè)連續(xù)的
    的頭像 發(fā)表于 11-02 14:48 ?532次閱讀

    ESL事務(wù)級(jí)建模語(yǔ)言簡(jiǎn)介

    任何系統(tǒng)級(jí)建模語(yǔ)言,都需要具備在較高層次的抽象能力和對(duì)不同來(lái)源的IP的集成能力。建模方法的選擇通常基于語(yǔ)言熟悉程度、建模支持、模型可用性和簡(jiǎn)單性。 在各種軟硬件描述語(yǔ)言中,Verilo
    的頭像 發(fā)表于 11-02 15:10 ?627次閱讀