0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

SystemVerilog測(cè)試套件加速IP到SoC的重用

星星科技指導(dǎo)員 ? 來源:synopsys ? 作者:Bernie DeLay ? 2023-05-29 10:13 ? 次閱讀

驗(yàn)證復(fù)雜的 SoC 需要付出很多努力。我們的用戶調(diào)查顯示,流片復(fù)雜SoC所涉及的工程資源中約有70%用于驗(yàn)證,其中一半時(shí)間用于調(diào)試。

如果沒有經(jīng)過深思熟慮的驗(yàn)證環(huán)境,驗(yàn)證團(tuán)隊(duì)會(huì)浪費(fèi)大量時(shí)間在 SoC 級(jí)別重新創(chuàng)建驗(yàn)證環(huán)境以實(shí)現(xiàn)芯片級(jí)驗(yàn)證,因?yàn)樗麄儾豢紤]重用最初開發(fā)的環(huán)境來驗(yàn)證其塊級(jí) IP。即使跨相同的抽象級(jí)別,也無法重用相同的驗(yàn)證IP和環(huán)境來支持仿真和仿真,也會(huì)導(dǎo)致延遲,并消耗不必要的工程資源。

能夠在整個(gè) SoC 項(xiàng)目中一致地重用驗(yàn)證環(huán)境,可顯著提高驗(yàn)證效率。但是,要從這些生產(chǎn)力優(yōu)勢(shì)中獲益,核查團(tuán)隊(duì)需要仔細(xì)規(guī)劃其驗(yàn)證過程所有階段的方法。

驗(yàn)證范圍

通常,驗(yàn)證團(tuán)隊(duì)會(huì)開發(fā)單獨(dú)的流來支持塊和 SoC 級(jí)別的驗(yàn)證。除了支持這些不同抽象級(jí)別之間的重用外,驗(yàn)證環(huán)境還應(yīng)可在驗(yàn)證過程的不同階段重用,以最大程度地減少工作量。

poYBAGR0CZCAfoRiAAB6f85rnNc774.png

圖1:驗(yàn)證光譜的兩個(gè)維度

每個(gè)設(shè)計(jì)的起點(diǎn)通常是系統(tǒng)架構(gòu),因?yàn)樗x了整體性能要求或約束。從設(shè)計(jì)和驗(yàn)證的角度來看,目標(biāo)應(yīng)該是在后續(xù)階段重用這些性能約束,并確保設(shè)計(jì)在集成 RTL 和設(shè)計(jì)發(fā)展時(shí)繼續(xù)滿足這些約束。

例如,當(dāng)系統(tǒng)架構(gòu)師的約束(如總線吞吐量和延遲)已在塊級(jí)別進(jìn)行驗(yàn)證時(shí),驗(yàn)證團(tuán)隊(duì)如何確保在 SoC 級(jí)別滿足這些約束?

為此,驗(yàn)證團(tuán)隊(duì)?wèi)?yīng)旨在重用組件,通常是在塊和 SoC 級(jí)別監(jiān)控這些約束的驗(yàn)證 IP。驗(yàn)證負(fù)責(zé)人必須提出具有通用方法、環(huán)境和驗(yàn)證IP的測(cè)試平臺(tái)架構(gòu),該架構(gòu)可在塊和SoC級(jí)別重用。當(dāng)然,協(xié)議驗(yàn)證 IP 必須能夠利用系統(tǒng)架構(gòu)師提供的性能約束,并突出顯示整個(gè)系統(tǒng)互連中的任何違規(guī)行為。同時(shí),為了最大限度地提高工作效率,團(tuán)隊(duì)?wèi)?yīng)確保為塊級(jí)驗(yàn)證編寫的測(cè)試也能在 SoC 級(jí)別工作,并在模擬和仿真中工作。

一致性是關(guān)鍵

系統(tǒng)架構(gòu)師最有可能使用事務(wù)級(jí)建模 (TLM) 技術(shù)。重用的關(guān)鍵是確保 API 在環(huán)境和抽象級(jí)別之間保持一致。例如,TLM API 應(yīng)該與用于仿真的 C API 保持一致——無論團(tuán)隊(duì)正在處理驗(yàn)證流程的哪個(gè)階段。

每個(gè) API 下的內(nèi)容(驅(qū)動(dòng)程序)對(duì)于每個(gè)環(huán)境都是唯一的,例如,用于模擬的 SystemVerilog 驅(qū)動(dòng)程序和用于仿真的 RTL 驅(qū)動(dòng)程序。無論 API 在驅(qū)動(dòng)程序級(jí)別與什么接口,重用驗(yàn)證 IP 的 API 的能力都是支持重用的關(guān)鍵。

支持塊到 SoC 重用

雖然一致的 API 支持在整個(gè)驗(yàn)證范圍內(nèi)重用,但 IP 到 SoC 重用是提高生產(chǎn)力的關(guān)鍵領(lǐng)域之一。它需要一種基于遵守最佳實(shí)踐準(zhǔn)則、一致的驗(yàn)證環(huán)境和一致的 VIP 的方法。

一致的語言和方法

在模塊和SoC級(jí)別以及所有驗(yàn)證IP中使用通用語言(如SystemVerilog和UVM),可以更輕松、更快速地在每個(gè)級(jí)別集成和測(cè)試設(shè)計(jì)。

通用驗(yàn)證計(jì)劃

再利用原則應(yīng)盡可能擴(kuò)大到核查計(jì)劃。在塊級(jí)別定義驗(yàn)證計(jì)劃所做的工作應(yīng)轉(zhuǎn)移到 SoC 級(jí)別。這應(yīng)包括驗(yàn)證團(tuán)隊(duì)可以重用的功能覆蓋定義和測(cè)試。

共享功能覆蓋數(shù)據(jù)庫

在一開始就擁有一致的覆蓋率數(shù)據(jù)庫可以節(jié)省將多個(gè)專有數(shù)據(jù)庫手動(dòng)合并為通用格式的大量時(shí)間。一致的數(shù)據(jù)庫將使驗(yàn)證團(tuán)隊(duì)能夠輕松快速地比較不同抽象級(jí)別的結(jié)果。

通用序列庫和調(diào)試

通過使用通用序列庫,能夠在模塊和SoC級(jí)別之間輕松重用激勵(lì),這將提高驗(yàn)證效率。

設(shè)計(jì)和驗(yàn)證團(tuán)隊(duì)在調(diào)試上花費(fèi)了大量時(shí)間。在 SoC 級(jí)別發(fā)現(xiàn)錯(cuò)誤時(shí),工程師可能必須轉(zhuǎn)回 IP 級(jí)別來調(diào)查問題。能夠在單個(gè)通用調(diào)試環(huán)境中執(zhí)行此操作,可以最大限度地減少團(tuán)隊(duì)在學(xué)習(xí)和熟悉不同環(huán)境上花費(fèi)的時(shí)間。

驗(yàn)證團(tuán)隊(duì)還可以從整個(gè)測(cè)試臺(tái)的可見性中受益。能夠在 IP 和 SoC 級(jí)別查看調(diào)試器代碼窗口中的事務(wù),可以節(jié)省在不同調(diào)試環(huán)境之間切換的時(shí)間。

通用語言、方法、規(guī)劃和調(diào)試是在驗(yàn)證環(huán)境的不同階段實(shí)現(xiàn)塊到 SoC 重用的先決條件。

有了正確的基礎(chǔ)設(shè)施,團(tuán)隊(duì)就可以專注于構(gòu)建與模塊接口的測(cè)試套件。

測(cè)試套件

對(duì)針對(duì)塊級(jí)的測(cè)試套件采用錯(cuò)誤的方法,使得在 SoC 級(jí)別重用塊級(jí)驗(yàn)證環(huán)境和測(cè)試變得困難。

圖 2 顯示了如何配置測(cè)試套件以支持在塊級(jí)別驗(yàn)證 PCI Express IP,這也適合在 SoC 級(jí)別重用。

poYBAGR0CZWARgR3AAJQtEL40_Y632.png

圖 2:PCI Express 設(shè)計(jì)的測(cè)試套件

測(cè)試套件設(shè)計(jì)為模塊化。圖 2 右上角的模塊顯示了如何將 PCI Express 端點(diǎn) RTL、AXI 接口和相關(guān)驅(qū)動(dòng)程序封裝到一個(gè)環(huán)境中。關(guān)系圖的左上角顯示了封裝在另一個(gè)環(huán)境中的根復(fù)雜 VIP。將整體環(huán)境與“底層”隔離開來是實(shí)現(xiàn)塊級(jí)和 SoC 級(jí)驗(yàn)證之間平穩(wěn)過渡的關(guān)鍵。

在實(shí)現(xiàn)測(cè)試套件時(shí),目標(biāo)應(yīng)該是避免進(jìn)行會(huì)阻礙重用的更改;以避免多次“觸摸”測(cè)試。即使是相對(duì)較小的任務(wù),如更改屬性的分層路徑,如果必須更改數(shù)百甚至數(shù)千個(gè)測(cè)試,也會(huì)產(chǎn)生大量工作。

為了最大程度地減少更改,驗(yàn)證團(tuán)隊(duì)在規(guī)劃和編寫測(cè)試時(shí)應(yīng)考慮塊級(jí)別和 SoC 級(jí)別之間可能更改的內(nèi)容,并確保測(cè)試不引用內(nèi)部環(huán)境。最好的方法是創(chuàng)建“配置感知”的測(cè)試,即測(cè)試應(yīng)該了解整體環(huán)境和配置。測(cè)試應(yīng)傳遞配置的名稱,并讓驅(qū)動(dòng)程序決定如何應(yīng)用信息

驗(yàn)證團(tuán)隊(duì)必須將所有這些原則付諸實(shí)踐,以便實(shí)現(xiàn)從塊到 SoC 級(jí)別的重用。弄錯(cuò)它們會(huì)產(chǎn)生額外的工作,以便將IP測(cè)試套件過渡到芯片級(jí)別。

新思科技VC驗(yàn)證IP

Synopsys 在構(gòu)建其下一代驗(yàn)證 IP 解決方案時(shí)廣泛使用 SystemVerilog,以支持易用性和重用性。例如,Synopsys 為符合 UVM(通用驗(yàn)證方法)的測(cè)試套件提供 SystemVerilog 源代碼,這可以節(jié)省大量開發(fā)時(shí)間并減少對(duì)內(nèi)部專業(yè)知識(shí)的需求。內(nèi)置功能使工程師能夠在整個(gè)驗(yàn)證范圍內(nèi)應(yīng)用一致的方法,以便在模塊和 SoC 級(jí)別進(jìn)行高效驗(yàn)證。

Synopsys 驗(yàn)證 IP 和測(cè)試套件解決方案支持從架構(gòu)分析到模塊驗(yàn)證、互連設(shè)計(jì)、SoC 集成,最后到仿真中的軟硬件協(xié)同驗(yàn)證的整個(gè)驗(yàn)證過程。廣泛的 Synopsys 驗(yàn)證 IP 產(chǎn)品組合包括驗(yàn)證復(fù)雜 SoC 設(shè)計(jì)所需的最新協(xié)議、接口和存儲(chǔ)器。Synopsys VIP 部署在數(shù)千個(gè)項(xiàng)目中,支持 AMBA、PCI Express、USB、MIPI、DDR、LPDDR、HDMI、以太網(wǎng)、SATA/SAS、光纖通道、OCP 等。

總結(jié)

驗(yàn)證 IP 已成為驗(yàn)證流程的關(guān)鍵部分,支持廣泛的任務(wù),例如性能分析、IP 模塊、互連和 SoC 的 RTL 驗(yàn)證,以及以具有仿真功能的事務(wù)處理器的形式實(shí)現(xiàn)全芯片驗(yàn)證,包括硬件-軟件協(xié)同驗(yàn)證。

驗(yàn)證環(huán)境中的 IP 到 SoC 級(jí)重用可以提高整個(gè)驗(yàn)證過程的工作效率。這種方法要求驗(yàn)證團(tuán)隊(duì)使用相同的語言、方法和驗(yàn)證 IP 開發(fā)可重用的塊測(cè)試環(huán)境,所有這些都必須專門構(gòu)建以支持重用,并具有一致應(yīng)用的指南。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • soc
    soc
    +關(guān)注

    關(guān)注

    38

    文章

    4070

    瀏覽量

    217579
  • IP
    IP
    +關(guān)注

    關(guān)注

    5

    文章

    1584

    瀏覽量

    149155
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    4003

    瀏覽量

    133246
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    [啟芯公開課] SystemVerilog for Verification

    學(xué)快速發(fā)展,這些趨勢(shì)你了解嗎?SystemVerilog + VM是目前的主流,在未來也將被大量采用,這些語言和方法學(xué),你熟練掌握了嗎?對(duì)SoC芯片設(shè)計(jì)驗(yàn)證感興趣的朋友,可以關(guān)注啟芯工作室推出的SoC芯片
    發(fā)表于 06-10 09:25

    混合信號(hào)SoC助力模擬IP發(fā)展

    ?!盕ranca斷言:下一步,將是IDM目前開發(fā)的用于SoC的部分模擬/混合信號(hào)IP。   據(jù)Franca透露,Chipidea公司已經(jīng)與“全球頂尖的10家IDM中的八家”進(jìn)行合作,開發(fā)可以集成IDM芯片
    發(fā)表于 05-13 07:00

    基于C的測(cè)試和驗(yàn)證套件集成常規(guī)UVM測(cè)試平臺(tái)的方法

    Systemverilog [1]和 UVM [2]為驗(yàn)證團(tuán)隊(duì)提供結(jié)構(gòu)和規(guī)則。它使得在許多測(cè)試中能獲得一致的結(jié)果,并可以在團(tuán)隊(duì)之間共享驗(yàn)證。許多驗(yàn)證團(tuán)隊(duì)都在使用由C代碼編寫的驗(yàn)證套件。本文將討論將基于C的
    發(fā)表于 12-11 07:59

    基于C的測(cè)試和驗(yàn)證套件集成常規(guī)UVM測(cè)試平臺(tái)的方法

    Systemverilog [1]和 UVM [2]為驗(yàn)證團(tuán)隊(duì)提供結(jié)構(gòu)和規(guī)則。它使得在許多測(cè)試中能獲得一致的結(jié)果,并可以在團(tuán)隊(duì)之間共享驗(yàn)證。許多驗(yàn)證團(tuán)隊(duì)都在使用由C代碼編寫的驗(yàn)證套件。本文將討論將基于C的
    發(fā)表于 12-15 07:38

    IP重用技術(shù)介紹

    IP重用技術(shù)
    發(fā)表于 01-14 06:58

    SOC測(cè)試訪問機(jī)制

    以復(fù)用為基礎(chǔ),通過測(cè)試訪問機(jī)制(TAM, Test Access Mechanism)實(shí)現(xiàn)對(duì)深嵌在SOC(System On Chip)內(nèi)部的IP 核(Intellectual Property, 知識(shí)產(chǎn)權(quán)模塊)的
    發(fā)表于 08-27 14:39 ?8次下載

    通過IP PAD物理設(shè)計(jì)重用節(jié)省PCB設(shè)計(jì)時(shí)間

    節(jié)省PCB設(shè)計(jì)的時(shí)間通過重用現(xiàn)有IP墊物理設(shè)計(jì)重用。
    的頭像 發(fā)表于 10-21 07:07 ?2522次閱讀

    CoreSight SoC-400綜合套件解決方案

    CoreSight SoC-400是包括可配置調(diào)試和追蹤組件及設(shè)計(jì)工具(以自動(dòng)化IP針數(shù)和測(cè)試)在內(nèi)的綜合套件。該解決方案的功能包括:完整的自動(dòng)化系統(tǒng)stitching和
    的頭像 發(fā)表于 07-02 14:28 ?3491次閱讀

    SmartMesh IP 的軟件開發(fā)套件加速了無線傳感器工業(yè)物聯(lián)網(wǎng)應(yīng)用的開發(fā)

    SmartMesh IP 的軟件開發(fā)套件加速了無線傳感器工業(yè)物聯(lián)網(wǎng)應(yīng)用的開發(fā)
    發(fā)表于 03-19 13:23 ?2次下載
    SmartMesh <b class='flag-5'>IP</b> 的軟件開發(fā)<b class='flag-5'>套件</b><b class='flag-5'>加速</b>了無線傳感器工業(yè)物聯(lián)網(wǎng)應(yīng)用的開發(fā)

    利用Systemverilog+UVM搭建soc驗(yàn)證環(huán)境

    利用Systemverilog+UVM搭建soc驗(yàn)證環(huán)境
    發(fā)表于 08-08 14:35 ?5次下載

    測(cè)試套件加速 SPE 汽車設(shè)計(jì)

    測(cè)試套件加速 SPE 汽車設(shè)計(jì)
    的頭像 發(fā)表于 01-05 09:43 ?825次閱讀
    <b class='flag-5'>測(cè)試</b><b class='flag-5'>套件</b><b class='flag-5'>加速</b> SPE 汽車設(shè)計(jì)

    MIPI聲線測(cè)試套件

    SystemVerilog 編寫,以實(shí)現(xiàn)易用性、易于集成和高性能。此外,我們還提供完整、獨(dú)立且經(jīng)過設(shè)計(jì)驗(yàn)證的測(cè)試套件,采用 SystemVerilog UVM 編寫,針對(duì)協(xié)議合規(guī)性
    的頭像 發(fā)表于 05-26 18:08 ?943次閱讀
    MIPI聲線<b class='flag-5'>測(cè)試</b><b class='flag-5'>套件</b>

    介紹從一組可重用的驗(yàn)證組件中構(gòu)建測(cè)試平臺(tái)所需的步驟

    本文介紹了從一組可重用的驗(yàn)證組件中構(gòu)建測(cè)試平臺(tái)所需的步驟。UVM促進(jìn)了重用,加速測(cè)試平臺(tái)構(gòu)建的過程。
    的頭像 發(fā)表于 06-13 09:11 ?437次閱讀
    介紹從一組可<b class='flag-5'>重用</b>的驗(yàn)證組件中構(gòu)建<b class='flag-5'>測(cè)試</b>平臺(tái)所需的步驟

    重用的驗(yàn)證組件中構(gòu)建測(cè)試平臺(tái)的步驟

    本文介紹了從一組可重用的驗(yàn)證組件中構(gòu)建測(cè)試平臺(tái)所需的步驟。UVM促進(jìn)了重用,加速測(cè)試平臺(tái)構(gòu)建的過程。 首先對(duì)
    的頭像 發(fā)表于 06-13 09:14 ?539次閱讀
    可<b class='flag-5'>重用</b>的驗(yàn)證組件中構(gòu)建<b class='flag-5'>測(cè)試</b>平臺(tái)的步驟

    全新 Arm IP Explorer 平臺(tái)助力 SoC 架構(gòu)師與設(shè)計(jì)廠商加速 IP 選擇

    Arm 推出全新 Arm IP Explorer 平臺(tái),該平臺(tái)是一套由 Arm 提供的云平臺(tái)服務(wù),旨在為基于 Arm 架構(gòu)設(shè)計(jì)系統(tǒng)的硬件工程師與 SoC 架構(gòu)師,加速IP 選擇和
    的頭像 發(fā)表于 07-26 16:25 ?466次閱讀