0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

SVPWM概念介紹與Simulink仿真分析

CHANBAEK ? 來源:亮子power ? 作者: 亮哥 ? 2023-06-02 11:30 ? 次閱讀

SVPWM概念介紹

SVPWM一般用于逆變器,交-直-交變頻器等場(chǎng)合,可以理解為一種開關(guān)觸發(fā)順序與脈寬大小的組合,類似與PWM的面積等效原理,只不過,利用這種開關(guān)觸發(fā)順序和組合可以在定子線圈中產(chǎn)生三相互差120電角度,失真較小的正弦電流波形,使電機(jī)獲得圓形磁鏈軌跡。三相逆變電路如圖1所示。

wKgZomR5YdWAGN-wAAAoqfOIeeI153.jpg

圖1 三相逆變電路
三相逆變電路可以從左往右可以分為三個(gè)橋臂,每個(gè)橋臂又分為上下兩個(gè)橋臂,上橋臂導(dǎo)通用“1”表示,下橋臂導(dǎo)通用“0”表示,則輸出的電壓矢量可以又三位二進(jìn)制數(shù)表示,即000-111,其中,000和111這兩種導(dǎo)通情況下,輸出的電壓為0,因此除去這兩種電壓,還存在著六種電壓矢量,這六個(gè)電壓矢量正好分成一個(gè)六邊形的區(qū)域,如圖2所示。

wKgaomR5YdWAeeHDAAA7yRsQXRQ188.jpg

圖2 SVPWM的六邊形扇區(qū)

2.3/2變換

眾所周知,在交流電機(jī)的三相繞組中流過三相電流,從而產(chǎn)生合成的旋轉(zhuǎn)磁動(dòng)勢(shì),它在空間上呈正弦分布,以一定的角速度順著A-B-C相序旋轉(zhuǎn)。而在計(jì)算時(shí),我們?nèi)绻捎萌嘧鴺?biāo)系去計(jì)算旋轉(zhuǎn)磁動(dòng)勢(shì)的話,會(huì)比較復(fù)雜,因此,就需要尋找一種可以替代的計(jì)算手段。由于只要保證旋轉(zhuǎn)磁動(dòng)勢(shì)與三相坐標(biāo)系的旋轉(zhuǎn)磁動(dòng)勢(shì)一致,而我們知道,單相、兩相、三相都可以產(chǎn)生旋轉(zhuǎn)磁動(dòng)勢(shì),當(dāng)然,以兩相的最為簡單。為此,三相的坐標(biāo)系可以由兩相正交坐標(biāo)系替代和等效,等效的原則就是旋轉(zhuǎn)磁動(dòng)勢(shì)相等。這就是3/2變換的由來。三相坐標(biāo)系和兩相正交坐標(biāo)系如圖3所示。

wKgaomR5YdWAF_m6AAAU6l0Dy8Y024.jpg

圖3 三相坐標(biāo)系(左)和兩相坐標(biāo)系(右)

下面介紹3/2變換的公式推導(dǎo)。由上述介紹可知,3/2變換就是三相繞組坐標(biāo)系和兩相正交坐標(biāo)系之間的變換,二者變換等效的依據(jù)時(shí)磁動(dòng)勢(shì)矢量相等,因此,首先繪制出兩個(gè)坐標(biāo)系和磁動(dòng)勢(shì)矢量。將兩個(gè)坐標(biāo)系原點(diǎn)重合且A軸與α軸重合,并標(biāo)上相應(yīng)角度。按照磁動(dòng)勢(shì)矢量的等效原則,三相繞組坐標(biāo)系合成的磁動(dòng)勢(shì)矢量在α軸和β軸上的投影與兩相坐標(biāo)系下合成的磁動(dòng)勢(shì)矢量在α軸和β軸上的投影均相等,如圖4所示。

wKgZomR5YdWAQPjWAAAeccQ85J8691.jpg

圖4 三相坐標(biāo)系和兩相坐標(biāo)系下的磁鏈?zhǔn)噶筷P(guān)系圖

根據(jù)這個(gè)關(guān)系,列寫出兩個(gè)方程,即:

wKgaomR5YdWAGZt5AAAFfVhP22A061.jpgwKgZomR5YdWAJeeOAAAEpYa0AHc849.jpg

寫成矩陣的形式有:

wKgaomR5YdWANm1FAAAFcKpZ9bI243.jpg

;按照變換前后總功率不變的原則,有

wKgaomR5YdWAen0vAAACiHl0rJ0196.jpg

。至于這個(gè)值的推導(dǎo)過程,可以看圖5所示。

wKgZomR5YdWAXUEVAABsd3N8Hj4963.jpg

圖5 匝數(shù)比的推導(dǎo)過程

以上介紹的就是3/2變換。在SIMULINK仿真中,由3/2變換得到的Uα和Uβ

如下圖所示,其中輸入電壓幅值為220V,頻率為25Hz。

wKgaomR5YdWAWrJnAACMAQj18yQ410.jpg

圖6 Uα和Uβ輸出的結(jié)果圖

3.判斷扇區(qū)

SVPWM的調(diào)制的第一步就是判斷扇區(qū),一般采用的方法使定義三個(gè)變量 Uref1 、 Uref2 、 Uref3 ,通過這三個(gè)參考變量的大小來判斷扇區(qū),他們的計(jì)算公式如下:

wKgZomR5YdWAGZ8IAAACNi9qd-c284.jpgwKgZomR5YdWADEOgAAADmKFE3SU217.jpgwKgZomR5YdaABb9YAAADo-EqmPY120.jpg

要理解參考變量與扇區(qū)值的關(guān)系,首先,繪制六邊形扇形圖以及U α 、 Uβ及三個(gè)參考變量的矢量圖。如下圖所示,舉個(gè)例子說明,當(dāng)合成電壓矢量在扇區(qū)Ⅰ時(shí),電壓矢量在Uref1和Uref2上的投影為正數(shù),電壓矢量在Uref3上的投影為負(fù)數(shù),以此類推,假設(shè)以A、B、C三個(gè)數(shù)來分別代表 Uref1 、 Uref2 、Uref3的正負(fù)值,參考變量為正,相應(yīng)的數(shù)為1,參考變量為負(fù),相應(yīng)的數(shù)為0,且定義一個(gè)叫做扇區(qū)值N的變量,N=A+2B+4C,最終得出扇區(qū)值N與扇區(qū)的關(guān)系如表1所示。(注意:扇區(qū)值和扇區(qū)兩者的概念要區(qū)分開來)

wKgaomR5YdaADuJwAABNQ9a8vmk442.jpg

圖7 參考變量矢量圖

表1:扇區(qū)值N與扇區(qū)的對(duì)應(yīng)關(guān)系

扇區(qū)
扇區(qū)值N 1 2 3 4 5 6

4.計(jì)算跟SVPWM有關(guān)的時(shí)間量

首先,以扇區(qū)Ⅰ為一個(gè)簡單的例子來分別計(jì)算出扇區(qū)相鄰兩邊電壓矢量的作用時(shí)間,在扇區(qū)Ⅰ中任意畫一條合成的電壓矢量,如下圖所示,

wKgaomR5YdaALyrjAAANDJpqm4U347.jpg

圖8 矢量作用時(shí)間舉例

由面積等效原理,合成電壓矢量在相鄰兩電壓矢量的投影與作用時(shí)間的乘積要分別相等,根據(jù)這個(gè)求出相鄰兩電壓矢量的作用時(shí)間及零矢量的作用時(shí)間,即:

wKgZomR5YdaAbYM5AABEUA_oJw8723.jpg

其中,K為比例系數(shù),其值固定,為

wKgaomR5YdaAGUczAAACcgy0KQs768.jpg

。

上述方法是常用的一種計(jì)算各扇區(qū)相鄰電壓矢量作用時(shí)間的方法,其基本原理是充分運(yùn)用了U α 、 Uβ來簡化計(jì)算,接下來介紹一種利用前面的三個(gè)參考變量 Uref1 、 Uref2 、Uref3來計(jì)算相鄰電壓矢量作用時(shí)間的方法,也是仿真過程中用到的方法,仿真結(jié)果表示這種方法也可以完成相同的效果。

首先,要用到上述的比例系數(shù)K,用K乘上 Uref1 ,用-K乘上 Uref2 、 Uref3 ,得到如下結(jié)果:

wKgZomR5YdaAYuirAAADFWv6UAw053.jpgwKgZomR5YdaARSHMAAAD1MqaUXA338.jpgwKgaomR5YdaAC_GJAAAD27UkIt0440.jpg

然后,得出對(duì)應(yīng)扇區(qū)值和相鄰兩電壓矢量作用時(shí)間的關(guān)系,如下表:

t1 Z Y -Z -X X -Y
t2 Y -X X Z -Y -Z
t0 pYYBAGR5YgqAT-sWAAACReqgK0c752.png
N(扇區(qū)值) 1 2 3 4 5 6

當(dāng)然,這里t1和t2之和大于T0時(shí),要進(jìn)行相應(yīng)的調(diào)制處理,否則會(huì)導(dǎo)致失真。調(diào)制的原理就是將t1和t2分別乘上

wKgaomR5YdaAMKZ0AAACA5-JYeg248.jpg

。

確定了上述扇區(qū)值與相鄰兩電壓矢量作用時(shí)間的關(guān)系,接下來就要確定扇區(qū)的矢量切換點(diǎn),也可以是通常說的占空比的概念。以七步法為例,何為七步法,就是將一個(gè)周期T0

wKgZomR5YdaAQj8GAAABYeO7Zu0081.jpg

或Ts

wKgZomR5YdaARSHMAAAD1MqaUXA338.jpg

(上述的相應(yīng)說明里這兩個(gè)時(shí)間可以認(rèn)為是一個(gè),只不過抄教材的時(shí)候沒改哈哈)分成七個(gè)部分,每個(gè)部分對(duì)應(yīng)一個(gè)時(shí)間。要利用七步法,首先還需要計(jì)算出矢量切換點(diǎn)的時(shí)間值,即:

wKgZomR5YdaAS1UoAAAC5daDPZg458.jpgwKgaomR5YdaAHcYFAAACbpul6Hc266.jpgwKgaomR5YdaAQLFMAAACZcss3QY079.jpg

然后還是以扇區(qū)Ⅰ為例,處于該扇區(qū)時(shí)利用七步法得出的結(jié)果如下圖所示。跟前面的六邊形扇區(qū)相結(jié)合理解,橋臂1的上橋臂導(dǎo)通,另外兩個(gè)橋臂的下橋臂導(dǎo)通時(shí),輸出的就是 U4 ,對(duì)應(yīng)的也是100,同理U6對(duì)應(yīng)的正好也是110,它兩作用的時(shí)間也正好分別是t1和t2。利用七步法的好處就是使得這種輸出對(duì)稱起來,利于控制。

wKgZomR5YdaAN9yvAAAaZ262Oys848.jpg

圖9 扇區(qū)Ⅰ對(duì)應(yīng)的七步法舉例圖

以上僅是針對(duì)一個(gè)扇區(qū)而言,接下來列出三項(xiàng)電壓開關(guān)切換點(diǎn)Tcmp1,Tcmp2,Tcmp3與各扇區(qū)之間的關(guān)系。

Tcmp1 Tb Ta Ta Tc Tc Tb
Tcmp2 Ta Tc Tb Tb Ta Tc
Tcmp3 Tc Tb Tc Ta Tb Ta
N(扇區(qū)值) 1 2 3 4 5 6

再以扇區(qū)Ⅱ?yàn)槔由钜幌吕斫?,如下圖所示,扇區(qū)Ⅱ?qū)?yīng)的扇區(qū)值為1,所以橋臂1,2,3的開關(guān)切換時(shí)間分別是Tb,Ta,Tc。由下圖可知,U6和U2的作用時(shí)間也正好是t1和t2

wKgZomR5YdaAWfioAAAaO2eAeXI749.jpg

Simulink仿真結(jié)果輸出的三相電壓開關(guān)時(shí)間切換點(diǎn)的波形如下圖所示。

wKgZomR5YdaAX87QAACezudU3Nk233.jpg

圖10 三相電壓開關(guān)時(shí)間切換點(diǎn)波形

5.PWM信號(hào)輸出

將三相電壓開關(guān)時(shí)間切換點(diǎn)與調(diào)制波進(jìn)行比較輸出SVPWM信號(hào)。仿真圖和最終的輸出結(jié)果如下面這些圖所示。

wKgaomR5YdaAV1bmAAAzfd6Go9A058.jpg

wKgaomR5YdaAKVcKAABDhKJPgn8235.jpg

圖11 三相電壓開關(guān)時(shí)間切換點(diǎn)與三角調(diào)制波比較圖

wKgaomR5YdaAfOI6AABa0y4IkSk839.jpg

wKgZomR5YdeAFyzvAAA0bfnRnhc026.jpg

圖12 輸出的正弦線電壓和圓形磁鏈圖

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • PWM
    PWM
    +關(guān)注

    關(guān)注

    114

    文章

    5106

    瀏覽量

    212929
  • 逆變器
    +關(guān)注

    關(guān)注

    280

    文章

    4649

    瀏覽量

    205663
  • Simulink
    +關(guān)注

    關(guān)注

    22

    文章

    520

    瀏覽量

    62191
  • SVPWM
    +關(guān)注

    關(guān)注

    14

    文章

    614

    瀏覽量

    90525
  • 仿真分析
    +關(guān)注

    關(guān)注

    3

    文章

    104

    瀏覽量

    33610
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    在matlab的simulink仿真

    在matlab的simulink仿真模塊里面進(jìn)行仿真SPWM時(shí)怎么設(shè)置調(diào)制比?如何實(shí)現(xiàn)空間矢量面寬調(diào)制SVPWM仿真輸出?
    發(fā)表于 08-05 18:12

    Simulink系列免費(fèi)教程(四)- Simulink建模及仿真參數(shù)設(shè)置介紹

    大家上午好!FOC矢量控制simulink仿真免費(fèi)教程,特邀趙云老師為大家講解,本期為第四期內(nèi)容。希望對(duì)大家學(xué)習(xí)有所幫助,請(qǐng)持續(xù)關(guān)注,我們會(huì)繼續(xù)更新!上期回顧:Simulink系列免費(fèi)教程-軟件
    發(fā)表于 03-15 11:16

    simulink電機(jī)仿真學(xué)習(xí) - SVPWM原理分析仿真分析相關(guān)資料分享

    SVPWM算法原理及詳解,寫的真的很好。地址:https://blog.csdn.net/qlexcel/article/details/74787619 ,原理分析部分大部分都是參照這位...
    發(fā)表于 07-05 06:55

    如何對(duì)SVPWM算法進(jìn)行Simulink仿真

    SVPWM算法的原理是什么?SVPWM算法如何去實(shí)現(xiàn)?如何對(duì)SVPWM算法進(jìn)行Simulink仿真?
    發(fā)表于 08-04 06:29

    simulink中關(guān)于電機(jī)矢量控制中SVPWM仿真

    simulink仿真過程中,發(fā)現(xiàn)不帶SVPWM的矢量控制模型,得到的電流波形好,而且d、q軸電流跟隨性能好。 但是加了有SVPWM的矢量控制模型中,d、q軸電流普遍有毛刺,有時(shí)候需要
    發(fā)表于 09-24 22:53

    通過Matlab/Simulink對(duì)SVPWM控制算法進(jìn)行仿真分析

      前言  本章節(jié)首先介紹SVPWM控制技術(shù)的原理,然后詳細(xì)分析SVPWM控制算法的具體實(shí)現(xiàn)方式包括7段式SVPWM與5段式
    發(fā)表于 03-06 17:00

    Simulink仿真教程介紹

    Simulink仿真教程Simulink仿真教程Simulink仿真教程
    發(fā)表于 12-28 18:13 ?0次下載

    基于SVPWM矢量控制變頻仿真分析

    基于SVPWM矢量控制變頻仿真分析,下來看看
    發(fā)表于 04-13 15:42 ?15次下載

    SVPWM算法仿真實(shí)現(xiàn)及分析

    SVPWM算法仿真實(shí)現(xiàn)及分析,有需要的下來看看
    發(fā)表于 04-14 17:06 ?9次下載

    SVPWM原理及其Simulink仿真

    SVPWM原理及其Simulink仿真
    發(fā)表于 04-15 17:49 ?70次下載

    基于Simulink和dSPACE的SVPWM的實(shí)時(shí)仿真

    基于Simulink和dSPACE的SVPWM的實(shí)時(shí)仿真。
    發(fā)表于 04-18 10:13 ?76次下載

    基于SPWM和SVPWM的變頻電源仿真分析

    基于SPWM和SVPWM的變頻電源仿真分析。
    發(fā)表于 04-18 10:13 ?25次下載

    如何使用SIMULINK對(duì)SVPWM算法進(jìn)行仿真

    介紹了空間電壓矢量脈寬調(diào)制(SVPWM)的基本原理,并且詳細(xì)闡述了SVPWM的算法,最后用Matlab的Simulink對(duì)SVPWM的算法進(jìn)
    發(fā)表于 11-04 17:17 ?31次下載
    如何使用<b class='flag-5'>SIMULINK</b>對(duì)<b class='flag-5'>SVPWM</b>算法進(jìn)行<b class='flag-5'>仿真</b>

    SVPWM逆變器Simulink仿真

    SVPWM逆變器Simulink仿真 一、SVPWM原理簡述 1.電壓空間矢量 2.旋轉(zhuǎn)空間電壓矢量 3.矢量作用時(shí)間計(jì)算 二、SVPWM
    發(fā)表于 03-01 11:07 ?2次下載
    <b class='flag-5'>SVPWM</b>逆變器<b class='flag-5'>Simulink</b><b class='flag-5'>仿真</b>

    SVPWM控制技術(shù)的基本原理及Matlab/Simulink仿真分析

    本章節(jié)首先介紹SVPWM控制技術(shù)的原理,然后詳細(xì)分析SVPWM控制算法的具體實(shí)現(xiàn)方式包括7段式SVPWM與5段式
    的頭像 發(fā)表于 05-24 11:10 ?1w次閱讀
    <b class='flag-5'>SVPWM</b>控制技術(shù)的基本原理及Matlab/<b class='flag-5'>Simulink</b><b class='flag-5'>仿真</b><b class='flag-5'>分析</b>