0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

芯片微縮最新路線圖,2036年實(shí)現(xiàn)0.2nm

深圳市賽姆烯金科技有限公司 ? 來源:半導(dǎo)體行業(yè)觀察 ? 2023-06-02 16:22 ? 次閱讀

曾經(jīng)有一段時(shí)間,實(shí)際上是幾十年,制造更好的計(jì)算機(jī)芯片所需要的只是更小的晶體管和更窄的互連。DNA那個(gè)時(shí)代已經(jīng)一去不復(fù)返了,雖然晶體管會(huì)繼續(xù)變得更小,但簡(jiǎn)單地制造它們已不再是重點(diǎn)。

上周在比利時(shí)安特衛(wèi)普舉行的ITF 2023 世界大會(huì)上,研究人員認(rèn)為,現(xiàn)在保持計(jì)算速度呈指數(shù)級(jí)增長(zhǎng)的唯一方法是一種稱為系統(tǒng)技術(shù)協(xié)同優(yōu)化 (STCO) 的方案。它能夠?qū)?a href="http://srfitnesspt.com/v/tag/137/" target="_blank">芯片分解成它們的功能組件,為每個(gè)功能使用最佳的晶體管和互連技術(shù),并將它們重新拼接在一起以創(chuàng)建一個(gè)功耗更低、功能更好的整體。

Imec研發(fā)經(jīng)理Marie Garcia Bardon說:“這將我們引向 CMOS 的新范式。” 正如總部位于比利時(shí)的納米技術(shù)研究組織所稱,CMOS 2.0 是一個(gè)復(fù)雜的愿景。但它可能是最實(shí)用的前進(jìn)方式,其中的一部分已經(jīng)在當(dāng)今最先進(jìn)的芯片中得到體現(xiàn)。

我們是怎么到這里的

Imec 研發(fā)副總裁Julien Ryckaert說,從某種意義上說,半導(dǎo)體行業(yè)在 2005 年之前的幾十年里被寵壞了。在那段時(shí)間里,化學(xué)家和設(shè)備物理學(xué)家能夠定期生產(chǎn)更小、功耗更低、速度更快的晶體管,可用于芯片上的每項(xiàng)功能,這將導(dǎo)致計(jì)算能力的穩(wěn)步提高。

但此后不久,輪子開始脫離該計(jì)劃。設(shè)備專家可以想出出色的新晶體管,但這些晶體管并不能制造出更好、更小的電路,例如構(gòu)成 CPU 主體的 SRAM 存儲(chǔ)器和標(biāo)準(zhǔn)邏輯單元。作為回應(yīng),芯片制造商開始打破標(biāo)準(zhǔn)單元設(shè)計(jì)和晶體管開發(fā)之間的障礙。稱為設(shè)計(jì)技術(shù)協(xié)同優(yōu)化或 DTCO 的新方案導(dǎo)致專門設(shè)計(jì)用于制造更好的標(biāo)準(zhǔn)單元和存儲(chǔ)器的設(shè)備。

但 DTCO 不足以讓計(jì)算繼續(xù)進(jìn)行。物理和經(jīng)濟(jì)現(xiàn)實(shí)的局限性共同為通用型晶體管的發(fā)展設(shè)置了障礙。如Imec 的首席工程師Anabela Veloso解釋說,物理限制阻止了 CMOS 工作電壓降低到大約 0.7 伏以下,從而減緩了功耗的進(jìn)展。轉(zhuǎn)向多核處理器幫助改善了這個(gè)問題一段時(shí)間。同時(shí),輸入輸出限制意味著越來越需要將多個(gè)芯片的功能集成到處理器上。

因此,除了具有多個(gè)處理器內(nèi)核實(shí)例的片上系統(tǒng) (SoC) 之外,它們還集成了網(wǎng)絡(luò)、內(nèi)存和通常專用的信號(hào)處理內(nèi)核。這些內(nèi)核和功能不僅具有不同的功率和其他需求,而且它們也無法以相同的速度變得更小。即使是 CPU 的高速緩存 SRAM,也不會(huì)像處理器的邏輯那樣快速縮小。

系統(tǒng)技術(shù)協(xié)同優(yōu)化

讓事情擺脫困境與技術(shù)集合一樣是一種哲學(xué)轉(zhuǎn)變。根據(jù) Ryckaert 的說法,STCO 意味著將片上系統(tǒng)視為功能的集合,例如電源、I/O 和緩存存儲(chǔ)器?!爱?dāng)你開始對(duì)功能進(jìn)行推理時(shí),你會(huì)意識(shí)到 SoC 不是這種同質(zhì)系統(tǒng),只是晶體管和互連,”他說?!八枪δ?,針對(duì)不同的目的進(jìn)行了優(yōu)化?!?/p>

理想情況下,您可以使用最適合它的工藝技術(shù)來構(gòu)建每個(gè)功能。實(shí)際上,這主要意味著在自己的硅片或小芯片上構(gòu)建每個(gè)。然后,您可以使用高級(jí) 3D 堆疊等技術(shù)將它們綁定在一起,這樣所有功能就好像它們?cè)谕粔K硅片上一樣。

這種想法的例子已經(jīng)出現(xiàn)在高級(jí)處理器和人工智能加速器中。英特爾的高性能計(jì)算加速器 Ponte Vecchio(現(xiàn)在稱為英特爾數(shù)據(jù)中心 GPU Max)由 47 個(gè)小芯片組成,這些小芯片使用兩種不同的工藝構(gòu)建,分別來自英特爾和臺(tái)積電。AMD 已經(jīng)在I /O 小芯片上使用了不同的技術(shù)并在其 CPU 中計(jì)算小芯片,它最近開始為計(jì)算小芯片的高級(jí)高速緩存分離出SRAM 。

Imec 的 CMOS 2.0 路線圖走得更遠(yuǎn)。該計(jì)劃需要繼續(xù)縮小晶體管,將電源和可能的時(shí)鐘信號(hào)移動(dòng)到 CPU 的硅片下方,以及更加緊密的 3D 芯片集成。Ryckaert 說:“我們可以使用這些技術(shù)來識(shí)別不同的功能,分解 SoC,然后重新集成它,從而非常高效?!?/p>

60bd3d86-fbbf-11ed-90ce-dac502259ad0.png

晶體管將在未來十年內(nèi)改變形式,但連接它們的金屬也會(huì)改變。最終,晶體管可能是由二維半導(dǎo)體而不是硅制成的堆疊設(shè)備。電力傳輸和其他基礎(chǔ)設(shè)施可以分層放置在晶體管下方。

持續(xù)的晶體管縮放

主要芯片制造商已經(jīng)從為過去十年的計(jì)算機(jī)和智能手機(jī)提供動(dòng)力的 FinFET 晶體管過渡到一種新的架構(gòu),納米片晶體管。最終,兩個(gè)納米片晶體管將在彼此之上構(gòu)建,形成互補(bǔ)的 FET 或 CFET,Velloso 稱其“代表了 CMOS 縮放的終極”。

隨著這些設(shè)備按比例縮小和改變形狀,主要目標(biāo)之一是縮小標(biāo)準(zhǔn)邏輯單元的尺寸。這通常以“軌道高度”來衡量——基本上,可以安裝在單元內(nèi)的金屬互連線的數(shù)量。先進(jìn)的FinFET和早期的納米片器件是六軌cell。移動(dòng)到五個(gè)軌道可能需要一種稱為 forksheet 的間隙設(shè)計(jì),它可以將設(shè)備更緊密地?cái)D壓在一起,而不必使它們更小。然后 CFET 會(huì)將單元減少到四個(gè)軌道或可能更少。

60d09840-fbbf-11ed-90ce-dac502259ad0.png

根據(jù) Imec 的說法,芯片制造商將能夠使用ASML 的下一代極紫外光刻技術(shù)生產(chǎn)出這一進(jìn)展所需的更精細(xì)的特征。這項(xiàng)稱為高數(shù)值孔徑 EUV 的技術(shù)目前正在 ASML 建設(shè)中,而 Imec 是下一個(gè)交付的。增加數(shù)值孔徑,一個(gè)與系統(tǒng)可以收集光線的角度范圍相關(guān)的光學(xué)術(shù)語,可以產(chǎn)生更精確的圖像。

背面供電網(wǎng)絡(luò)

背面供電網(wǎng)絡(luò)的基本思想是從硅表面上方移除所有發(fā)送電力(而不是數(shù)據(jù)信號(hào))的互連,并將它們放置在硅表面下方。這應(yīng)該允許更少的功率損耗,因?yàn)楣β蕚鬏敾ミB可以更大且電阻更小。它還為信號(hào)傳輸互連釋放了晶體管層上方的空間,可能導(dǎo)致更緊湊的設(shè)計(jì)。

將來,更多的可能會(huì)被轉(zhuǎn)移到硅片的背面。例如,所謂的全局互連——那些跨越(相對(duì))遠(yuǎn)距離以傳輸時(shí)鐘和其他信號(hào)的互連——可以位于硅片下方。或者,工程師可以添加有源功率傳輸設(shè)備,例如靜電放電安全二極管。

3D整合

進(jìn)行 3D 集成的方法有多種,但當(dāng)今最先進(jìn)的是晶圓到晶圓和芯片到晶圓的混合鍵合。這兩個(gè)提供了兩個(gè)硅芯片之間最高密度的互連。但這種方法要求兩個(gè)芯片設(shè)計(jì)在一起,因此它們的功能和互連點(diǎn)對(duì)齊,使它們可以作為一個(gè)芯片,技術(shù)人員的主要成員 Anne Jourdain 說。Imec R&D 有望在不久的將來每平方毫米產(chǎn)生數(shù)百萬個(gè) 3D 連接。

進(jìn)入 CMOS 2.0

CMOS 2.0 將分解和異構(gòu)集成發(fā)揮到極致。根據(jù)哪些技術(shù)對(duì)特定應(yīng)用有意義,它可能會(huì)產(chǎn)生一個(gè)包含嵌入式內(nèi)存、I/O 和電源基礎(chǔ)設(shè)施、高密度邏輯、高驅(qū)動(dòng)電流邏輯和大量緩存存儲(chǔ)器層的 3D 系統(tǒng)。

要達(dá)到這一點(diǎn),不僅需要技術(shù)開發(fā),還需要工具和培訓(xùn)來辨別哪些技術(shù)可以真正改進(jìn)系統(tǒng)。正如 Bardon 指出的那樣,智能手機(jī)、服務(wù)器、機(jī)器學(xué)習(xí)加速器以及增強(qiáng)現(xiàn)實(shí)和虛擬現(xiàn)實(shí)系統(tǒng)都有非常不同的要求和限制。對(duì)一個(gè)人有意義的事情對(duì)另一個(gè)人來說可能是死胡同。

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    50025

    瀏覽量

    419794
  • CMOS
    +關(guān)注

    關(guān)注

    58

    文章

    5643

    瀏覽量

    234871
  • 機(jī)器學(xué)習(xí)

    關(guān)注

    66

    文章

    8325

    瀏覽量

    132203

原文標(biāo)題:芯片微縮最新路線圖,2036年實(shí)現(xiàn)0.2nm

文章出處:【微信號(hào):深圳市賽姆烯金科技有限公司,微信公眾號(hào):深圳市賽姆烯金科技有限公司】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    2024學(xué)習(xí)生成式AI的最佳路線圖

    本文深入探討了2024最佳生成式AI路線圖的細(xì)節(jié),引領(lǐng)我們穿越動(dòng)態(tài)進(jìn)展、新興趨勢(shì)以及定義這一尖端領(lǐng)域的變革應(yīng)用。引言在日新月異的人工智能領(lǐng)域,生成式AI猶如創(chuàng)新的燈塔,不斷拓展創(chuàng)造力與智慧的邊界
    的頭像 發(fā)表于 07-26 08:28 ?438次閱讀
    2024學(xué)習(xí)生成式AI的最佳<b class='flag-5'>路線圖</b>

    三星電子公布2024異構(gòu)集成路線圖,LP Wide I/O移動(dòng)內(nèi)存即將面世

    7月17日,三星電子公布了其雄心勃勃的2024異構(gòu)集成路線圖,其中一項(xiàng)關(guān)鍵研發(fā)成果引發(fā)了業(yè)界廣泛關(guān)注——一款名為L(zhǎng)P Wide I/O的創(chuàng)新型移動(dòng)內(nèi)存即將面世。這款內(nèi)存不僅預(yù)示著存儲(chǔ)技術(shù)的又一次
    的頭像 發(fā)表于 07-17 16:44 ?721次閱讀
    三星電子公布2024<b class='flag-5'>年</b>異構(gòu)集成<b class='flag-5'>路線圖</b>,LP Wide I/O移動(dòng)內(nèi)存即將面世

    三星公布最新工藝路線圖

    來源:綜合報(bào)道 近日,三星電子在加州圣何塞的設(shè)備解決方案美國(guó)總部舉辦三星晶圓代工論壇(Samsung Foundry Forum, SFF),公布了其最新代工技術(shù)路線圖和成果。 以下是主要亮點(diǎn)
    的頭像 發(fā)表于 06-17 15:33 ?310次閱讀
    三星公布最新工藝<b class='flag-5'>路線圖</b>

    三星芯片制造技術(shù)路線圖出爐,意強(qiáng)化AI芯片代工市場(chǎng)競(jìng)爭(zhēng)力

    在科技日新月異的當(dāng)下,三星電子公司作為全球領(lǐng)先的科技企業(yè)之一,再次展示了其在芯片制造領(lǐng)域的雄心壯志。6月13日,據(jù)彭博社等權(quán)威媒體報(bào)道,三星電子在其位于加州圣何塞的美國(guó)芯片總部舉辦的年度代工論壇上,公布了其最新的芯片制造技術(shù)
    的頭像 發(fā)表于 06-13 15:05 ?691次閱讀

    英飛凌為AI數(shù)據(jù)中心提供先進(jìn)的高能效電源裝置產(chǎn)品路線圖

    英飛凌科技股份公司已翻開AI系統(tǒng)能源供應(yīng)領(lǐng)域的新篇章,發(fā)布了電源裝置(PSU)產(chǎn)品路線圖。該路線圖在優(yōu)先考慮能源效率前提下,專為滿足AI數(shù)據(jù)中心當(dāng)前和未來的能源需求而設(shè)計(jì)。
    發(fā)表于 06-03 18:24 ?456次閱讀
    英飛凌為AI數(shù)據(jù)中心提供先進(jìn)的高能效電源裝置產(chǎn)品<b class='flag-5'>路線圖</b>

    iPhone升級(jí)路線圖曝光:1后才配12G內(nèi)存,2026有折疊屏

    有博主曝光了蘋果接下來更新iPhone的路線圖,時(shí)間跨度從2023-2027。
    的頭像 發(fā)表于 05-20 10:54 ?630次閱讀

    北京開源芯片研究院加入甲辰計(jì)劃,預(yù)計(jì)2036實(shí)現(xiàn)全信息產(chǎn)業(yè)

    甲辰計(jì)劃(RISC-V Prosperity 2036)創(chuàng)立于2024除夕,由多國(guó)RISC-V軟件及芯片團(tuán)隊(duì)共同發(fā)起,現(xiàn)已聚集數(shù)十家致力于RISC-V產(chǎn)品及軟件研發(fā)的企業(yè)。
    的頭像 發(fā)表于 05-10 10:09 ?833次閱讀

    事關(guān)衛(wèi)星物聯(lián)網(wǎng)!LoRaWAN 2027 發(fā)展路線圖重磅公布

    4月16日,LoRa聯(lián)盟(LoRaAlliance)發(fā)布了LoRaWAN開發(fā)路線圖,以引導(dǎo)該標(biāo)準(zhǔn)未來演進(jìn)的方向。LoRaWAN開發(fā)路線圖LoRa作為低功耗廣域網(wǎng)通信領(lǐng)域的“明星”之一
    的頭像 發(fā)表于 04-26 08:06 ?531次閱讀
    事關(guān)衛(wèi)星物聯(lián)網(wǎng)!LoRaWAN 2027 發(fā)展<b class='flag-5'>路線圖</b>重磅公布

    安霸發(fā)布5nm制程的CV75S系列芯片,進(jìn)一步拓寬AI SoC產(chǎn)品路線圖

    防展(ISC West)期間發(fā)布 5nm 制程的 CV75S 系列芯片,進(jìn)一步拓寬其 AI SoC 產(chǎn)品路線圖。
    的頭像 發(fā)表于 04-09 10:26 ?1475次閱讀

    美國(guó)公布3D半導(dǎo)體路線圖

    的約300名個(gè)人共同努力制定。 MAPT路線圖定義了關(guān)鍵的研究重點(diǎn)和必須解決的技術(shù)挑戰(zhàn),以支持20211月發(fā)布的“半導(dǎo)體十計(jì)劃”中概述的重大轉(zhuǎn)變。MAPT路線圖可在https
    的頭像 發(fā)表于 03-25 17:32 ?590次閱讀

    納微半導(dǎo)體發(fā)布最新AI數(shù)據(jù)中心電源技術(shù)路線圖

    納微半導(dǎo)體,作為功率半導(dǎo)體領(lǐng)域的佼佼者,以及氮化鎵和碳化硅功率芯片的行業(yè)領(lǐng)頭羊,近日公布了其針對(duì)AI人工智能數(shù)據(jù)中心的最新電源技術(shù)路線圖。此舉旨在滿足未來12至18個(gè)月內(nèi),AI系統(tǒng)功率需求可能呈現(xiàn)高達(dá)3倍的指數(shù)級(jí)增長(zhǎng)。
    的頭像 發(fā)表于 03-16 09:39 ?800次閱讀

    英特爾晶圓代工業(yè)務(wù)再升級(jí),新路線圖出爐

    對(duì)于該公司來說,這是一個(gè)令人興奮的時(shí)刻,但也是一個(gè)關(guān)鍵時(shí)刻。英特爾已經(jīng)到了需要兌現(xiàn)這些承諾的地步——而且他們需要以一種非常明顯的方式做到這一點(diǎn)。
    發(fā)表于 02-23 11:27 ?474次閱讀
    英特爾晶圓代工業(yè)務(wù)再升級(jí),<b class='flag-5'>新路線圖</b>出爐

    Arm 更新 Neoverse 產(chǎn)品路線圖,實(shí)現(xiàn)基于 Arm 平臺(tái)的人工智能基礎(chǔ)設(shè)施

    Neoverse CSS 產(chǎn)品;與 CSS N2 相比,其單芯片性能可提高 50% Arm Neoverse CSS N3 拓展了 Arm 領(lǐng)先的 N 系列 CSS 產(chǎn)品路線圖;與 CSS N2 相比
    發(fā)表于 02-22 11:41 ?307次閱讀

    IBM公布量子技術(shù)10路線圖,并推出突破了規(guī)模極限的QPU

     IBM 還將宣布計(jì)劃于 2 月份推出 Qiskit 1.0,并結(jié)合生成式 AI 功能以使其更易于使用。它將分享擴(kuò)展的 10 量子路線圖,尤其重要的是,以去年春天使用其 127 量子位 Eagle 處理器發(fā)布的工作為標(biāo)志,IBM 將宣布通過改進(jìn)的錯(cuò)誤緩解和糾正技術(shù)
    的頭像 發(fā)表于 12-06 15:37 ?739次閱讀

    未來10傳感器怎么發(fā)展?美國(guó)半導(dǎo)體協(xié)會(huì)發(fā)布最新MEMS路線圖

    近期,美國(guó)半導(dǎo)體工業(yè)協(xié)會(huì)(下文簡(jiǎn)稱“SIA”)和美國(guó)半導(dǎo)體研究聯(lián)盟(下文簡(jiǎn)稱“SRC”),聯(lián)合發(fā)布了未來10(2023-2035)全球半導(dǎo)體產(chǎn)業(yè)技術(shù)發(fā)展路線圖——微電子和先進(jìn)封裝技術(shù)路線圖(下文
    的頭像 發(fā)表于 11-15 08:44 ?668次閱讀
    未來10<b class='flag-5'>年</b>傳感器怎么發(fā)展?美國(guó)半導(dǎo)體協(xié)會(huì)發(fā)布最新MEMS<b class='flag-5'>路線圖</b>