0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

為什么要同時(shí)存在m_sequencer和p_sequencer他們兩個(gè)?

sanyue7758 ? 來(lái)源:硅芯思見(jiàn) ? 2023-06-19 10:02 ? 次閱讀

在構(gòu)建復(fù)雜的sequence序列的時(shí)候,我們經(jīng)常會(huì)用到m_sequencer和p_sequencer,并且在很多資料中都提到兩者實(shí)際指向的是同一個(gè)對(duì)象,那么為什么要同時(shí)存在他們兩個(gè),存在一個(gè)不就夠用了嗎?為此,本文通過(guò)示例說(shuō)明下兩者之間的關(guān)系。

首先,我們先來(lái)看看“白皮書(shū)”上的一個(gè)示例。

【示例】

c1bba410-0dee-11ee-962d-dac502259ad0.png

“白皮書(shū)”中講解在case0_sequence中如果企圖通過(guò)m_sequencer引用my_sequencer中的變量將會(huì)報(bào)錯(cuò),需要通過(guò)調(diào)用宏聲明p_sequencer后通過(guò)p_sequencer才能引用my_sequencer中的變量,并且還強(qiáng)調(diào)了了m_sequencer和p_sequencer指向同一個(gè)對(duì)象,那么為什么m_sequencer不能直接訪問(wèn)my_sequencer中的變量呢?這里首先需要明白,在UVM中m_sequencer句柄的類型是uvm_sequencer_base,并且定義在uvm_sequence_item類中,可以理解為其是sequence的member sequencer的簡(jiǎn)寫(xiě),是uvm_sequence_item類中的一個(gè)成員(如下圖),用戶編寫(xiě)的sequence大都派生自該類。

在建立sequence和sequencer關(guān)系(uvm_sequence::start())時(shí),該成員將指向該sequence將要運(yùn)行的sequencer。

c1cfe54c-0dee-11ee-962d-dac502259ad0.png

那么,p_sequencer的類型是什么呢?我們從代碼中的宏定義來(lái)看一下,這個(gè)宏定義展開(kāi)如下。

c1eaf2a6-0dee-11ee-962d-dac502259ad0.png

在該宏中,SEQUENCER必須為“類型”,當(dāng)程序中使用該宏時(shí),SEQUENCER為用戶自定義的sequencer,即示例中的my_sequencer,而因?yàn)楹曛衟_sequencer指定的類型為SEQUENCER,即也就是調(diào)用該宏時(shí)傳入的參數(shù)my_sequencer,所以可以知道p_sequencer的類型為用戶自定義的sequencer,即示例中的my_sequencer,而用戶自定義的sequencer派生自u(píng)vm_sequencer。

至此,我們也就知道了m_sequencer和p_sequencer的句柄類型。我們匯總下上述信息可以得到下圖。

c204cc12-0dee-11ee-962d-dac502259ad0.png

在聲明p_sequencer的宏中,451行通過(guò)$cast將p_sequencer指向了m_sequencer指向的對(duì)象,而m_sequencer此時(shí)指向?qū)ο蟮念愋途褪怯脩糇远x的sequencer,即經(jīng)過(guò)一番騷操作之后,p_sequencer和m_sequencer指向了同一個(gè)sequencer。既然指向了同一個(gè)對(duì)象,為什么一個(gè)句柄(p_sequencer)可以訪問(wèn)對(duì)象的屬性而另一個(gè)(m_sequencer)不行呢?這里就需要大家理解SystemVerilog中的句柄轉(zhuǎn)換后,父類句柄都可以訪問(wèn)子類對(duì)象中的那些屬性的問(wèn)題。為了描述問(wèn)題方便,我們通過(guò)一個(gè)簡(jiǎn)化示例來(lái)說(shuō)明p_sequencer和m_sequencer之間的訪問(wèn)關(guān)系。

【示例】

c221289e-0dee-11ee-962d-dac502259ad0.png

仿真結(jié)果】

c23c0920-0dee-11ee-962d-dac502259ad0.png

示例中,m_sequencer句柄的類型為sequencer_base,p_sequencer句柄類型為sequencer_user,p_sequencer指向創(chuàng)建對(duì)象之后,可以訪問(wèn)sequencer_user中的屬性u(píng)ser_str,通過(guò)顯示函數(shù)顯示出來(lái),但是在18行將m_sequencer指向p_sequencer指向的對(duì)象之后,通過(guò)m_sequencer訪問(wèn)sequencer_user中的屬性u(píng)ser_str析構(gòu)時(shí)報(bào)錯(cuò)!這是因?yàn)樵赟ystemVerilog中,如果企圖使用父類句柄去訪問(wèn)子類對(duì)象時(shí),父類句柄是不能直接訪問(wèn)子類對(duì)象中的屬性成員,其實(shí)這也符合人之常情,時(shí)代在進(jìn)步,子類肯定會(huì)具有一些父類所不具有的特性,這些特性就是父類所不具有也不能直接進(jìn)行訪問(wèn)的。

那么,如何實(shí)現(xiàn)父類對(duì)于子類中屬性的訪問(wèn)呢?可以采用曲線救國(guó)的策略,我們可以通過(guò)父類句柄訪問(wèn)子類中的方法,并且該方法還必須是虛方法,然后在子類中的方法訪問(wèn)子類中的屬性實(shí)現(xiàn),只不過(guò)這樣實(shí)現(xiàn)起來(lái)有些費(fèi)勁,所以我們將一些通用的屬性都放在父類中,這樣可以實(shí)現(xiàn)這些資源的共享。

回到UVM中的m_sequencer和p_sequencer中,因?yàn)閙_sequencer相當(dāng)于是父類句柄,而p_sequencer是子類句柄,所以不能使用m_sequencer去訪問(wèn)子類句柄指向的子類對(duì)象。

如果要實(shí)現(xiàn)對(duì)于子類對(duì)象的訪問(wèn),那么應(yīng)該如何實(shí)現(xiàn)呢?在SystemVerilog中,我們可以通過(guò)將指向子類對(duì)象的父類句柄通過(guò)$cast轉(zhuǎn)換成具有子類對(duì)象類型的子類句柄,從而實(shí)現(xiàn)子類對(duì)象中屬性的訪問(wèn),我們還是通過(guò)上例進(jìn)行示例說(shuō)明。

【示例】

c25534b8-0dee-11ee-962d-dac502259ad0.png

【仿真結(jié)果】

c26f1946-0dee-11ee-962d-dac502259ad0.png

示例中,雖然m_sequencer句柄具有父類類型,但是其指向的是子類對(duì)象,所以此時(shí)可以通過(guò)$cast成功將p1_sequencer句柄指向m_sequencer指向的子類對(duì)象,因?yàn)閜1_sequencer具有和子類對(duì)象相同的類型,所以p1_sequencer可以訪問(wèn)指向?qū)ο笾兴械膶傩浴?/p>

所以我們回到本文開(kāi)始“白皮書(shū)”示例描述的,之所以出現(xiàn)“白皮書(shū)”中描述m_sequencer不能訪問(wèn)用戶自定義的sequencer中屬性的原因就是因?yàn)閙_sequencer句柄的類型為當(dāng)前自定義sequencer類型的父類,訪問(wèn)用戶自定義sequencer類的對(duì)象中屬性的方法只能通過(guò)具有該類型的句柄p_sequencer才行。




審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    181

    瀏覽量

    19114
  • Verilog語(yǔ)言
    +關(guān)注

    關(guān)注

    0

    文章

    113

    瀏覽量

    8196

原文標(biāo)題:m_sequencer和p_sequencer到底誰(shuí)大

文章出處:【微信號(hào):處芯積律,微信公眾號(hào):處芯積律】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    請(qǐng)問(wèn)一下在UVM中的UVMsequences是什么意思啊

    m_sequencer類型轉(zhuǎn)化為真實(shí)sequencer ,通常稱為p_sequencer。下面是一個(gè)簡(jiǎn)單的示例,sequence 希望訪問(wèn)sequ
    發(fā)表于 04-11 16:43

    介紹種交互方法來(lái)完成Sequencer和Driver的握手機(jī)制

    的API,而這些API的具體實(shí)現(xiàn),都是在Sequencer中實(shí)現(xiàn)。這也符合TLM的基本用法。除此之外,Sequencer中擁有兩個(gè)FIFO:請(qǐng)求FIFO m_req_fifo和響應(yīng)FI
    發(fā)表于 09-23 14:39

    如何獲得stm32wb55xx評(píng)估板上的Sequencer Scheduler支持

    需要 Stm32wb55xx 評(píng)估板中使用的 Sequencer 調(diào)度程序的更多支持。如何有效地使用以及是否有任何在線研討會(huì)或任何其他文檔,這將對(duì)我們有所幫助。
    發(fā)表于 12-01 06:18

    有誰(shuí)知道哪里有Sequencer的詳細(xì)描述以及示例?

    看起來(lái)調(diào)度器 SCH_* 已被定序器 UTIL_SEQ_* 取代。有誰(shuí)知道哪里有 Sequencer 的詳細(xì)描述以及示例?
    發(fā)表于 01-12 07:00

    Programmable Six Supply Sequencer and Supervisor

    Programmable Six Supply Sequencer and Supervisor,有需要的朋友下來(lái)看看。
    發(fā)表于 06-02 17:09 ?5次下載

    談UVM之sequence/item見(jiàn)解 sequencer特性及應(yīng)用(下)

    本文將接著分享sequencer的相關(guān)知識(shí),對(duì)于sequencer的仲裁特性有幾種可選,UVM_SEQ_ARB_FIFO ;UVM_SEQ_ARB_WEIGHTED
    的頭像 發(fā)表于 02-19 10:14 ?4754次閱讀
    談UVM之sequence/item見(jiàn)解 <b class='flag-5'>sequencer</b>特性及應(yīng)用(下)

    Sequencer的DN274-12位ADC簡(jiǎn)化了多輸入應(yīng)用

    Sequencer的DN274-12位ADC簡(jiǎn)化了多輸入應(yīng)用
    發(fā)表于 04-17 19:02 ?8次下載
    帶<b class='flag-5'>Sequencer</b>的DN274-12位ADC簡(jiǎn)化了多輸入應(yīng)用

    Power System Manager和Super Sequencer選擇指南

    Power System Manager和Super Sequencer選擇指南
    發(fā)表于 04-20 09:38 ?6次下載
    Power System Manager和Super <b class='flag-5'>Sequencer</b>選擇指南

    AN-1293:ADuCM350 Sequencer快速指南

    AN-1293:ADuCM350 Sequencer快速指南
    發(fā)表于 04-22 14:40 ?5次下載
    AN-1293:ADuCM350 <b class='flag-5'>Sequencer</b>快速指南

    UG-952:ADM1260 Super Sequencer IC使用的ADI Power Studio軟件指南

    UG-952:ADM1260 Super Sequencer IC使用的ADI Power Studio軟件指南
    發(fā)表于 04-24 14:15 ?0次下載
    UG-952:ADM1260 Super <b class='flag-5'>Sequencer</b> IC使用的ADI Power Studio軟件指南

    ADM1067:采用開(kāi)環(huán)裕度DAC的Super Sequencer?數(shù)據(jù)表

    ADM1067:采用開(kāi)環(huán)裕度DAC的Super Sequencer?數(shù)據(jù)表
    發(fā)表于 05-18 10:23 ?2次下載
    ADM1067:采用開(kāi)環(huán)裕度DAC的Super <b class='flag-5'>Sequencer</b>?數(shù)據(jù)表

    LTC4253/LTC4253A:-48V帶Sequencer的熱插拔控制器數(shù)據(jù)表

    LTC4253/LTC4253A:-48V帶Sequencer的熱插拔控制器數(shù)據(jù)表
    發(fā)表于 05-22 09:46 ?5次下載
    LTC4253/LTC4253A:-48V帶<b class='flag-5'>Sequencer</b>的熱插拔控制器數(shù)據(jù)表

    UVM driver和sequencer的通信

    sequencer生成激勵(lì)數(shù)據(jù),并將其傳遞給driver執(zhí)行。UVM類庫(kù)提供了uvm_sequencer基類,其參數(shù)為request和response數(shù)據(jù)類型。
    的頭像 發(fā)表于 06-07 11:58 ?1533次閱讀
    UVM driver和<b class='flag-5'>sequencer</b>的通信

    Sequencer上啟動(dòng)一個(gè)Sequence

    Sequencer默認(rèn)不執(zhí)行任何Sequence。驗(yàn)證工程師可以通過(guò)調(diào)用start()啟動(dòng)一個(gè)Sequence,也可以通過(guò)uvm_config_db指定一個(gè)自動(dòng)啟動(dòng)的Sequence。
    的頭像 發(fā)表于 06-10 09:10 ?750次閱讀
    在<b class='flag-5'>Sequencer</b>上啟動(dòng)一<b class='flag-5'>個(gè)</b>Sequence

    AD7927: 8-Channel, 200 kSPS, 12-Bit ADC with Sequencer in 20-Lead TSSOP Data Sheet AD7927: 8-Channel, 200 kSPS, 12-Bit ADC with Sequencer in

    電子發(fā)燒友網(wǎng)為你提供ADI(ADI)AD7927: 8-Channel, 200 kSPS, 12-Bit ADC with Sequencer in 20-Lead TSSOP Data
    發(fā)表于 10-12 18:50
    AD7927: 8-Channel, 200 kSPS, 12-Bit ADC with <b class='flag-5'>Sequencer</b> in 20-Lead TSSOP Data Sheet AD7927: 8-Channel, 200 kSPS, 12-Bit ADC with <b class='flag-5'>Sequencer</b> in