0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

主宰半導(dǎo)體先進(jìn)制程,全球***龍頭AMSL的發(fā)展史

jh18616091022 ? 來源:AIOT大數(shù)據(jù) ? 2023-06-19 11:27 ? 次閱讀

公司概況:全球***龍頭,EUV ***唯一供應(yīng)商

公司概覽:EUV ***唯一供應(yīng)商,專注于提高***分辨率

阿斯麥:專注于高端***生產(chǎn),不斷取得行業(yè)突破性進(jìn)展。公司成立于 1984 年,專注于高端***的設(shè)計(jì)與生產(chǎn),提供包括***、光刻模擬、光刻監(jiān)測及配套 服務(wù)等在內(nèi)的全套光刻解決方案。公司于 1995 年 3 月上市,歷史最高漲幅超過 470 倍,2023 年 6 月 1 日,公司市值約 2870 億美元,是全球最大市值的***企業(yè)?;?顧公司發(fā)展歷史,主要分為以下幾個(gè)階段:

1)創(chuàng)立階段(1984 年-1995 年):阿斯麥成立于 1984 年,其技術(shù)團(tuán)隊(duì)及創(chuàng)業(yè)團(tuán) 隊(duì)來自于飛利浦公司,一直從事于***設(shè)備的研發(fā)和生產(chǎn)。公司于 1990 年左右推 出 PAS5500 系列***,打破美日***巨頭壟斷。

2)拓展產(chǎn)品線階段 (1999-2007):該階段公司通過兼并收購,持續(xù)優(yōu)化產(chǎn)品線, 市場份額快速提升。2001 年,阿斯麥?zhǔn)召徚嗣绹墓韫燃瘓F(tuán)(SVG),SVG 擁有成熟 的 157nm 光學(xué)技術(shù),這使得阿斯麥成為全球領(lǐng)先的***制造商之一。此外,公司 在 2001 年推出采用雙工作臺(tái)的設(shè)備,并在 2004 年推出第一臺(tái)浸入式***樣機(jī)(直 到 2023 年這兩項(xiàng)技術(shù)依舊是行業(yè)重要的領(lǐng)先技術(shù)),并至此確立較佳能、尼康的技術(shù)優(yōu)勢。

3)技術(shù)升級(jí)階段 (2007 至今):該階段公司技術(shù)不斷升級(jí),推出一系列創(chuàng)新產(chǎn)品, 于 2010 年推出全球首臺(tái) EUV *** NXE3100,并于 2016 年推出首臺(tái)可量產(chǎn)光刻 機(jī) NXE3600B(2017 年開始上量),由此確立高端***系統(tǒng)龍頭地位。

cf5eebac-0db9-11ee-962d-dac502259ad0.png

公司主營業(yè)務(wù):產(chǎn)品包括***、量測系統(tǒng)和計(jì)算光刻系統(tǒng),主要營收來自于光 刻機(jī)銷售。公司業(yè)務(wù)包括***銷售、計(jì)量與檢測系統(tǒng)、計(jì)算光刻系統(tǒng)三大部分,其 中,1)***銷售:主營業(yè)務(wù)為***銷售,主要包括極紫外光(EUV)***、 浸入式深紫外光(DUV)***和干式深紫外光(DUV)***。2)量測與檢驗(yàn)系 統(tǒng):該系統(tǒng)用于測量半導(dǎo)體制造過程中的光學(xué)參數(shù),幫助芯片制造商在芯片制造過程 中評(píng)估性能,有助于提高精度、性能和質(zhì)量控制。3)計(jì)算光刻系統(tǒng):該系統(tǒng)是一款 高級(jí)輔助仿真軟件,幫助芯片制造商進(jìn)行光刻模擬、光學(xué)模擬和物理模擬等多項(xiàng)仿真 和分析,實(shí)現(xiàn)更精確的監(jiān)測和控制。其中,三種業(yè)務(wù)的具體產(chǎn)品包括:

***銷售:產(chǎn)品包括 EUV 與 DUV,主要區(qū)別在于光源的不同。1)EUV ***:EUV ***采用極紫外光(EUV)技術(shù)生產(chǎn)先進(jìn)微電子芯片,是 目前***領(lǐng)域的最尖端技術(shù)。公司 EUV ***主要包括 NXE:3600D 與 NXE:3400C,可分別支持 5nm&3nm 節(jié)點(diǎn)、7nm&5nm 節(jié)點(diǎn)的批量生產(chǎn)。2) 浸入式 DUV ***:浸入式 DUV ***采用浸入式光刻技術(shù)生產(chǎn)先進(jìn)的微 電子芯片,主要光源為 ArF,是目前使用范圍最廣的***。浸入式光刻技 術(shù)通過液體層減少光學(xué)散射和波動(dòng),從而實(shí)現(xiàn)更高的分辨率和更小的特征尺 寸。公司主要產(chǎn)品為 TWINSCAN NXT 系列,包括 2050i、2000i 與 1980Di。 3)干式 DUV ***:干式 DUV ***采用干式光刻技術(shù),其核心部件為 投影鏡頭,光源為 ArF、KrF 與 i-line。相對(duì)于浸入式***,干式 DUV 光 刻機(jī)的制程節(jié)點(diǎn)較低,公司主要產(chǎn)品為 XT 與 NXT 系列。

量測與檢驗(yàn)系統(tǒng):通過測量工藝參數(shù),實(shí)現(xiàn)高良率及高性能。1)YieldStar 光學(xué)量測系統(tǒng):YieldStar 光學(xué)量測系統(tǒng)用于檢測半導(dǎo)體芯片制造過程中的光 學(xué)性能,具體用于測量制造過程中的光學(xué)參數(shù),如焦距、曝光均勻性、聚焦 深度等,幫助制造商更準(zhǔn)確地控制制造過程,提高制造的良率和質(zhì)量。其應(yīng) 用范圍主要包括晶圓制造、***調(diào)試、光刻模板檢測等多個(gè)領(lǐng)域。公司在 售系統(tǒng)包括 YieldStar 1385 等 4 款光學(xué)計(jì)量設(shè)備。2)HMI 電子束量測系統(tǒng): HMI 電子束量測系統(tǒng)主要用于電子束刻蝕和電子束光刻過程的質(zhì)量控制,通 過精確測量電子束系統(tǒng)的性能參數(shù),并檢測電子束曝光系統(tǒng)的缺陷,幫助制 造商提高產(chǎn)品的制造質(zhì)量和良率,提高生產(chǎn)效率。

計(jì)算光刻系統(tǒng):光刻仿真分析軟件,幫助優(yōu)化工藝與產(chǎn)品設(shè)計(jì)。公司計(jì)算光 刻解決方案是一種高級(jí)的仿真軟件,主要用于半導(dǎo)體工藝的設(shè)計(jì)和優(yōu)化。計(jì) 算光刻的目的是模擬當(dāng)前光刻方案的成像結(jié)果,計(jì)算出優(yōu)化結(jié)果所需要進(jìn)行 的光源和掩膜調(diào)整。通過進(jìn)行光刻模擬、光學(xué)模擬和物理模擬等多項(xiàng)仿真和 分析,優(yōu)化制造工藝和產(chǎn)品設(shè)計(jì),提高產(chǎn)品的制造質(zhì)量和生產(chǎn)效率。該解決 方案主要包括光刻模擬軟件、光學(xué)模擬軟件和物理仿真軟件等多個(gè)部分。

財(cái)務(wù)概覽:營收受下游需求持續(xù)驅(qū)動(dòng),產(chǎn)品結(jié)構(gòu)優(yōu)化提高盈利能力

營業(yè)收入:近年來穩(wěn)定增長,受到邏輯與存儲(chǔ)市場雙驅(qū)動(dòng)。2018-2022 年,公司營業(yè) 收入持續(xù)增長,2022 年總營收為 212 億歐元(同比增長 14%),對(duì)應(yīng) 2018-2022 年 CAGR 為 18%,其中:1)分產(chǎn)品看,EUV、ArFi ***為主要營收來源,二者皆用于先進(jìn)制程芯片生產(chǎn),2022 年?duì)I收占比分別為 33%與 25%。此外,公司 IBM 業(yè)務(wù)(Installed Base Management,主要包括設(shè)備升級(jí)與相關(guān)服務(wù)等)亦隨著設(shè)備安裝量的提高而提高,收入 占比由 2018 年的 25%提升至 2022 年的 27%。2)分下游領(lǐng)域看,公司過取營業(yè)收入增 長受到下游邏輯與存儲(chǔ)芯片雙驅(qū)動(dòng),其中邏輯芯片主要受益于數(shù)字化轉(zhuǎn)型(包括 5GAI、 VR 等),存儲(chǔ)芯片主要受益于服務(wù)器需求的持續(xù)強(qiáng)勁。

cf835be0-0db9-11ee-962d-dac502259ad0.png

盈利能力:近年來持續(xù)提高,主要受益于產(chǎn)品組合優(yōu)化。

毛利率:隨產(chǎn)品組合優(yōu)化而提升,短期受通脹影響成本上行。2018-2022 年,公 司整體毛利率實(shí)現(xiàn)顯著提升,主要由于 EUV ***出貨量提升帶來的產(chǎn)品結(jié)構(gòu)優(yōu) 化。其中 2022 年全年毛利率降低主要由于通貨膨脹帶來的材料、運(yùn)費(fèi)和勞動(dòng)力 支出增加,以及提高生產(chǎn)能力和滿足客戶需求所帶來的工廠成本增加。

凈利潤實(shí)現(xiàn)明顯增長,主要受益于毛利增長。根據(jù)公司財(cái)報(bào),公司 2022 年 GAAP 凈利潤為 56 億歐元(同比-4%),凈利率角度看,公司 2022 年 GAAP 凈利率為 26.6%。2022 年公司盈利水平有所下降,主要受到毛利率水平下降,以及更高的 研發(fā)費(fèi)用率影響。

近兩年毛利率接近 50%,較競爭對(duì)手略為領(lǐng)先。2021-2022 年公司毛利率分別為 50.6%/49.7%,均略高于競爭對(duì)手。同時(shí),公司 2021-2022 年毛利率較 2018 年 的 43.1%有所提高,主要受益于公司 EUV ***上量對(duì)產(chǎn)品組合的優(yōu)化,進(jìn)而 推動(dòng)毛利率上行。我們預(yù)計(jì)公司未來毛利率將隨 EUV ***的出貨量提升而持 續(xù)提升。

cfa1821e-0db9-11ee-962d-dac502259ad0.png

行業(yè)分析:先進(jìn)與成熟制程雙驅(qū)動(dòng),EUV 為主要推手

發(fā)展趨勢:制程工藝持續(xù)精進(jìn),下一代***將于 2025 年推出

***:芯片生產(chǎn)的核心設(shè)備之一,直接影響制程工藝。芯片生產(chǎn)主要包括沉積、光 刻、蝕刻等 7 個(gè)步驟,其中光刻為核心步驟之一,主要負(fù)責(zé)把芯片設(shè)計(jì)圖案通過光學(xué)顯影 技術(shù)轉(zhuǎn)移到芯片表面,進(jìn)而實(shí)現(xiàn)在半導(dǎo)體晶片表面上制造微小結(jié)構(gòu)。***生產(chǎn)具備高技 術(shù)門檻,需要高度精密的物理設(shè)備和嚴(yán)格的控制流程,以達(dá)到所需的制造精度。而先進(jìn)的 芯片制程工藝需要先進(jìn)的、高分辨率的***進(jìn)行適配,因此***直接影響芯片的工藝 制程與性能。

演變趨勢:從 UV 到 EUV,正在向 High-NA EUV 發(fā)展。***的技術(shù)演進(jìn)主要分為 以下幾個(gè)階段。1)UV ***:用于 0.25 微米及以上制程節(jié)點(diǎn),UV 為紫外光,光源類型 包括 g-line、i-line 等。2)干式 DUV ***:可用于 65nm-0.35μm 制程節(jié)點(diǎn),干式 DUV 是指在光刻過程中使用干式透鏡和深紫外線光源,該技術(shù)在 20 世紀(jì) 90 年代初得到了廣泛 應(yīng)用。3)浸入式 DUV ***:可用于 7nm-45nm 制程節(jié)點(diǎn),隨著芯片制造技術(shù)對(duì)先進(jìn)制 程的需求持續(xù)增加,干式 DUV ***已無法滿足其精度要求。浸入式 DUV ***通過把 物鏡與晶圓之間的填充由空氣改變?yōu)樗M(jìn)而獲得更高的數(shù)值孔徑(NA),使***具有 更高的分辨率與成像能力。4)Low-NA EUV ***:用于 3nm-7nm 制程節(jié)點(diǎn),EUV 為 極紫外光,該光源的波長較此前光源明顯減小,顯著提升***的分辨率。5)High-NA EUV ***:用于 3nm 以下制程節(jié)點(diǎn),High-NA 是指高數(shù)值孔徑(0.33→0.55),是下一代光 刻機(jī)技術(shù),將在已有 EUV 基礎(chǔ)上進(jìn)一步提高分辨率與成像能力,從而實(shí)現(xiàn)更先進(jìn)制成的 生產(chǎn)。當(dāng)前該技術(shù)由阿斯麥公司研發(fā)中,公司預(yù)計(jì)在 2025 年實(shí)現(xiàn)出貨。

產(chǎn)業(yè)鏈:所需供應(yīng)組件眾多,供應(yīng)鏈管理難度高。***涉及的內(nèi)部零件種類眾多, 且越高端的***組成越復(fù)雜,如 EUV 內(nèi)部零件多達(dá) 8 萬件以上,其核心組件包括光源系統(tǒng)、雙工作臺(tái)、物鏡系統(tǒng)、對(duì)準(zhǔn)系統(tǒng)、曝光系統(tǒng)、浸沒系統(tǒng)、光柵系統(tǒng)等,其中光源、 晶圓曝光臺(tái)、物鏡和對(duì)準(zhǔn)系統(tǒng)的技術(shù)門檻較為顯著。因此,***企業(yè)往往具備高外采率、 與供應(yīng)商共同研發(fā)的特點(diǎn),而其下游應(yīng)用主要包括芯片制造、功率器件制造、芯片封裝等。

cfd15a98-0db9-11ee-962d-dac502259ad0.png

市場層面:EUV 市場份額持續(xù)提升,龍頭效應(yīng)日益顯著

市場規(guī)模:2022 年***市場規(guī)模 198 億美元,占晶圓生產(chǎn)設(shè)備總市場的 21%。1) ***市場前三大供應(yīng)商占據(jù)了絕大多數(shù)市場份額,2018-2022 年,三大供應(yīng)商的*** 營收合計(jì)由 123 億美元增長至 198 億美元,對(duì)應(yīng) CAGR 為 13%。結(jié)合三大供應(yīng)商的彭博 一致預(yù)期,以及佳能的公司指引及歷史收入結(jié)構(gòu),我們測算 2023-2025 年三大供應(yīng)商光刻 機(jī)收入合計(jì)分別為 252/275/328 億美元。在半導(dǎo)體設(shè)備細(xì)分市場中,***設(shè)備在半導(dǎo)體 設(shè)備總市場(包含蝕刻、薄膜沉積等)的 24%,為市場占比最大的細(xì)分設(shè)備。2)展望未 來,根據(jù)阿斯麥在投資者日公布的信息,近年來***市場在半導(dǎo)體總市場中的占比持續(xù) 提升,且未來該趨勢有望得以延續(xù),主要考慮到半導(dǎo)體產(chǎn)業(yè)近年來快速發(fā)展帶來 Capex 提升,而 Capex 中設(shè)備支出占比提升有望為***帶來持續(xù)增量。

出貨量:***出貨量穩(wěn)定提升,成熟制程出貨量增長明顯。阿斯麥、尼康、佳能三 大廠商占據(jù)了***絕大多數(shù)市場份額,通過統(tǒng)計(jì)三家公司的出貨量我們發(fā)現(xiàn):1)按設(shè) 備類型分,EUV、ArFi、ArF dry 三類***的銷量較為平穩(wěn),而 KrF 與 i-line 兩類成熟光 刻機(jī)增長較快,主要受生產(chǎn)能力限制所致。2019-2022 年,EUV ***出貨量分別為 26/31/42/40 臺(tái),ArFi ***出貨量分別為 93/79/85/85 臺(tái),ArF dry ***出貨量分別為 35/33/25/32 臺(tái)。2)按供應(yīng)商分,2019 年-2022 年,阿斯麥出貨量增長較為明顯,出貨量 分別為 229/258/309/345 臺(tái),對(duì)應(yīng) CAGR 為 15%;佳能四年出貨量分別為 84/122/140/176 臺(tái),對(duì)應(yīng) CAGR 為 28%,主要銷量增長主要來自成熟***;尼康四年出貨量分別為 46/31/35/30 臺(tái),對(duì)應(yīng) CAGR 為-13%。

ASP:EUV ***單價(jià)增長明顯,其余價(jià)格較為穩(wěn)定。2018-2022 年,EUV *** ASP 保持高位且持續(xù)上升,由 2018 年的 1.0 億歐元提升至 2022 年的 1.8 億歐元,與其 余產(chǎn)品相比價(jià)格上漲較為明顯。我們認(rèn)為,隨著下游芯片性能需求不斷提高,市場對(duì)先進(jìn) 制程需求有望反映于***的需求增長,進(jìn)而推動(dòng) EUV ***價(jià)格持續(xù)上升。

d003ecce-0db9-11ee-962d-dac502259ad0.png

競爭格局:三大供應(yīng)商占據(jù)主要市場,阿斯麥為絕對(duì)龍頭。***行業(yè)屬于明顯的寡 頭壟斷格局,前三供應(yīng)商(荷蘭阿斯麥、日本佳能、日本尼康)占據(jù)絕大多數(shù)市場份額。 1)從供應(yīng)商角度看,阿斯麥在市占率上具備明顯優(yōu)勢,2022 年按出貨量(345 臺(tái))市占 率為 63%,按營收看市占率為 81%,兩類市占率之間差異較大,主要由于 EUV 單價(jià)明顯 高于其它***。2)從***類型角度看,高端***(EUV、浸入式 ArF、干式 ArF) 主要玩家為阿斯麥,按出貨量市場占比分別為 100%/95%/87%。3)我們認(rèn)為,考慮到阿 斯麥公司的領(lǐng)先技術(shù)優(yōu)勢、EUV 的唯一供貨能力、在手專利充足等因素,阿斯麥在高端光 刻機(jī)的優(yōu)勢短期內(nèi)難以被追平,未來有望隨高端***需求增長而持續(xù)獲得市場份額,行 業(yè)龍頭效應(yīng)將更加集中。

需求分析:先進(jìn)制程受手機(jī)與服務(wù)器驅(qū)動(dòng),成熟制程受汽車與工業(yè)驅(qū)動(dòng)

下游需求:服務(wù)器、汽車與工業(yè)領(lǐng)域?yàn)橹匾?qū)動(dòng),預(yù)計(jì)晶圓需求量 2030 年達(dá) 2 億片。 1)從需求領(lǐng)域看,根據(jù)阿斯麥在投資者日公布的信息,2022 年半導(dǎo)體市場下游領(lǐng)域主要 包括智能手機(jī)(23%)、PC(19%)、服務(wù)器(16%)、工業(yè)電子(12%)、消費(fèi)電子(11%)、 汽車(10%)與通信(9%)。放眼未來,全球半導(dǎo)體市場的主要增長動(dòng)力來源于服務(wù)器、 汽車與工業(yè),阿斯麥預(yù)計(jì) 2022-2030 年對(duì)應(yīng)細(xì)分市場 CAGR 分別為 12%/11%/10%,推動(dòng) 2030 年全球半導(dǎo)體市場規(guī)模超過 1 萬億美元。2)從產(chǎn)品類型看,按照不同的制程,光刻 機(jī)下游產(chǎn)品可分成先進(jìn)芯片(包括先進(jìn)邏輯、DRAM 與 NAND)與成熟芯片(包括功率芯 片、傳感器芯片、成熟邏輯/模擬芯片)。根據(jù) SEMI 數(shù)據(jù),2022 年全球晶圓出貨面積為 147 億平方英寸,等效 12 英寸晶圓出貨量為 1.3 億片。阿斯麥預(yù)測,2022 年成熟芯片、 先進(jìn)邏輯、DRAM、NAND 的晶圓需求占比(按晶圓片數(shù))分別為 52%/14%16%/18%; 到 2030 年全球晶圓需求量將接近 2 億片(等效 12 英寸),其中先進(jìn)邏輯芯片增長最為明 顯。

1)先進(jìn)制程芯片:需求來自技術(shù)持續(xù)迭代,服務(wù)器與智能手機(jī)為主要驅(qū)動(dòng)

需求來源:主要來自晶體管數(shù)量提升,服務(wù)器與智能手機(jī)為主要驅(qū)動(dòng)力。當(dāng)前先進(jìn)制 程***主要用于生產(chǎn)先進(jìn)邏輯芯片、DRAM 與 NAND,在未來 10 年內(nèi)將持續(xù)進(jìn)行技術(shù) 演進(jìn),進(jìn)而驅(qū)動(dòng)先進(jìn)***的需求。1)先進(jìn)邏輯芯片:迭代周期約 2 年,2022 年量產(chǎn)產(chǎn) 品達(dá)到 5nm,阿斯麥預(yù)計(jì) 2022-2024 年將進(jìn)入 3nm 時(shí)代,2025 年進(jìn)入 2nm 時(shí)代,3nm 與 2nm 技術(shù)處于發(fā)展與研究階段(臺(tái)積電與三星分別于 2022 年 12 月末、2022 年 6 月末 宣布 3nm 量產(chǎn)),同時(shí)預(yù)計(jì)先進(jìn)邏輯芯片對(duì)晶圓需求量將在 2025 年與 2030 年分別達(dá)到 2500 萬片與 3800 萬片(等效 12 英寸)。2)DRAM:迭代周期約 1.5 年,2022 年 DRAM 量產(chǎn)節(jié)點(diǎn)為 1A,阿斯麥預(yù)計(jì)在 2022 年與 2024 年開始應(yīng)用 1B 與 1C 技術(shù),同時(shí)預(yù)計(jì) DRAM 芯片對(duì)晶圓需求量將在 2025 年與 2030 年分別達(dá)到 2300 萬與 2600 萬片(等效 12 英寸)。 3)NAND:迭代周期約 1.0-1.5 年,2022 年量產(chǎn) NAND 層數(shù)可達(dá) 176 層,阿斯麥預(yù)計(jì) 2026-2027 年 NAND 層數(shù)將提高至 400 層以上,同時(shí)預(yù)計(jì) NAND 芯片對(duì)晶圓需求量將在 2025 年與 2030 年分別達(dá)到 2500 萬與 3100 萬片(等效 12 英寸)。

d028a3a2-0db9-11ee-962d-dac502259ad0.png

先進(jìn)邏輯芯片:高端制程性能不斷提高,生產(chǎn)架構(gòu)持續(xù)革新。根據(jù) IMEC(比利時(shí)微 電子研究中心)的研究成果,2022年世界商用先進(jìn)制程可達(dá)3nm,對(duì)應(yīng)Pitch為22nm, 而 IMEC 預(yù)計(jì)這一數(shù)字將在 2030 年降低至 14-16nm。架構(gòu)上,目前臺(tái)積電 3nm 先進(jìn) 制程主要采用 FinFET(鰭式場效電晶體)架構(gòu),未來隨著制程的進(jìn)一步發(fā)展,未來有 望向 GAA(環(huán)繞式柵極技術(shù)晶體管)與 CFET(互補(bǔ)場效應(yīng)晶體管)演進(jìn)。

DRAM:位密度不斷提高,設(shè)計(jì)規(guī)則持續(xù)縮小。根據(jù)三星電子公布的 DRAM(動(dòng) 態(tài)隨機(jī)存取存儲(chǔ)器)發(fā)展路線圖,單芯片的位密度將由 24Gb 提升至 48Gb 或以 上,這推動(dòng)了設(shè)計(jì)規(guī)則的縮小,預(yù)計(jì)未來將往 14nm 以下制程發(fā)展。

NAND:增加 3D NAND 層數(shù)為行業(yè)主流趨勢,多用于數(shù)據(jù)中心、智能手機(jī)等。 垂直方向提高 3D NAND 層數(shù)為 NAND 芯片的主要發(fā)展方向,根據(jù) TechInsights 的 NAND Roadmap,2022 年前沿技術(shù)能夠達(dá)到 176 層,未來有望向 400-500 層,甚至更高層數(shù)發(fā)展,這將持續(xù)提高 NAND 對(duì)高端生產(chǎn)工藝及設(shè)備的需求。

對(duì)***的驅(qū)動(dòng):EUV 光刻花費(fèi)主要來自先進(jìn)邏輯芯片與 DRAM。先進(jìn)芯片(先進(jìn) 邏輯芯片、DRAM 與 NAND)隨著節(jié)點(diǎn)的持續(xù)精進(jìn),光刻花費(fèi)持續(xù)增長,而不同類型與不 同節(jié)點(diǎn)的芯片,在不同類型***的光刻花費(fèi)比例不同,具體體現(xiàn)為:1)先進(jìn)邏輯與 DRAM 芯片:EUV 在生產(chǎn)費(fèi)用中的占比隨制程節(jié)點(diǎn)的精進(jìn)不斷提高。2)NAND:主要使用浸入 式 ArF ***與干式***,隨著技術(shù)的精進(jìn),不同***費(fèi)用比例保持穩(wěn)定(ArFi:干 式***約為 6:4)。

2)成熟芯片:主要受功率與感知驅(qū)動(dòng),受益于汽車與工業(yè)高景氣

需求來源:主要受汽車與工業(yè)驅(qū)動(dòng),包括功率芯片、傳感芯片等。成熟芯片對(duì)應(yīng)制程 ≥28nm,其需求來源于:1)從下游領(lǐng)域看,成熟制程芯片(≥28nm)的需求主要受到 汽車與工業(yè)領(lǐng)域的高景氣驅(qū)動(dòng),阿斯麥預(yù)計(jì)成熟芯片對(duì)晶圓的需求將從 2020 年的 5800 萬片/年提升至 2025 年的 8000 萬片/年,以及 2030 年的 1.0 億片/年。2)從產(chǎn)品類型看, 成熟晶圓需求主要受益于功率芯片、傳感器(光學(xué)&非光學(xué))以及模擬芯片帶來的需求驅(qū) 動(dòng)。

d0555816-0db9-11ee-962d-dac502259ad0.png

對(duì)***的驅(qū)動(dòng):高端***主要用于光學(xué)傳感器與成熟邏輯芯片。成熟芯片中,主 要包括功率芯片、傳感器、成熟邏輯/模擬芯片:1)ArFi ***主要應(yīng)用在光學(xué)傳感(ArFi 光刻花費(fèi)占比約 40%)與成熟邏輯芯片(ArFi 光刻花費(fèi)占比約 60%)。2)其余***主 要用于功率芯片(KrF 45%、i-line 55%)、非光學(xué)傳感(KrF 30%、i-line 70%)、模擬芯 片(ArF、KrF、i-line 光刻花費(fèi)占比相近)的生產(chǎn)。

公司分析:競爭優(yōu)勢穩(wěn)固,中長期成長性明確

核心優(yōu)勢:具備 EUV 唯一供應(yīng)能力,供應(yīng)鏈、高研發(fā)為核心門檻

綜合來看,我們認(rèn)為公司在***領(lǐng)域的競爭優(yōu)勢包括:全球唯一的 EUV ***生 產(chǎn)能力、通過投資或入股實(shí)行上下游管理、持續(xù)高研發(fā)等,其中上下游管理為核心優(yōu)勢。 ASML 的發(fā)展歷史悠久,公司與其產(chǎn)業(yè)鏈的上游與下游皆具備深遠(yuǎn)的合作關(guān)系:1)下游 客戶關(guān)系:由于***前期研發(fā)需要巨額投入,公司 2012 年曾以股權(quán)融資與優(yōu)先供貨權(quán) 的方式,獲得下游客戶(英特爾、臺(tái)積電、三星)的研發(fā)投資并最終實(shí)現(xiàn) EUV 量產(chǎn),與 下游客戶并非簡單的供需關(guān)系。2)上游供應(yīng)管理:為確保供應(yīng)穩(wěn)定與提高競爭門檻,公司入股或收購上游核心供應(yīng)商管理供應(yīng)鏈,同時(shí)與核心供應(yīng)商具備長期深遠(yuǎn)的合作關(guān)系 (如阿斯麥母公司飛利浦曾于 1994 年為物鏡供應(yīng)商蔡司的產(chǎn)線升級(jí)提供資金支持)。3) 我們認(rèn)為:公司能夠成為 EUV 的唯一供應(yīng)商,最重要原因在于其對(duì)上下游的長期持續(xù)滲 透與深度合作,以及公司在技術(shù)專利與研發(fā)投入上亦與競爭對(duì)手形成的顯著差距,這為公 司發(fā)展的高成長性與高確定性提供了關(guān)鍵支撐。

競爭優(yōu)勢 1——產(chǎn)品優(yōu)勢:全球唯一的 EUV ***供應(yīng)商,提供完整的光刻解決方 案。公司在產(chǎn)品布局上具備領(lǐng)先行業(yè)的絕對(duì)優(yōu)勢:一方面,公司擁有全球唯一的 EUV 光 刻機(jī)供應(yīng)能力,能夠?qū)崿F(xiàn) 7nm 及以下制程工藝的光刻,以滿足下游消費(fèi)電子等對(duì)高端制程 芯片的需求。另一方面,公司圍繞光刻場景提供光刻模擬、光刻計(jì)量檢測兩大服務(wù),幫助 晶圓生產(chǎn)商優(yōu)化與檢測光刻過程,進(jìn)而提供一站式全面的解決方案。

競爭優(yōu)勢 2——供應(yīng)鏈優(yōu)勢:入股或收購上游供應(yīng)商,以提高供應(yīng)穩(wěn)定性。公司能夠 成為 EUV 唯一供應(yīng)商,對(duì)上游的供應(yīng)鏈管理為核心原因之一。公司具備廣闊的供應(yīng)鏈(超 過 5000 家供應(yīng)商),超過 90%的零部件來自于外部采購。而對(duì)于部分核心構(gòu)件供應(yīng)商, 公司則采取收購或入股的方式對(duì)其進(jìn)行管理。EUV ***由超過 10 萬個(gè)零件組成,核心 部件主要包括 EUV 光源、光學(xué)鏡頭(主要是物鏡)、雙工作臺(tái)等。其中,公司對(duì)光源與光 學(xué)鏡頭方面的供應(yīng)鏈管理具備明顯優(yōu)勢,其具體合作如下:

光源系統(tǒng):收購頂級(jí)光源供應(yīng)商 Cymer。光源系統(tǒng)用于產(chǎn)生穩(wěn)定的、用于光刻的 激光,***的工藝能力首先取決于光源的波長,是***的重要門檻之一。EUV 正是通過顯著降低光源波長(193nm→13.5nm),實(shí)現(xiàn)分辨率的大幅提高。美國 頂級(jí)光源企業(yè) Cymer 占據(jù)全球***設(shè)備光源 70%的市場份額,但由于 EUV 光 源研發(fā)始終無法突破瓶頸,ASML 于 2012 年將其收購,并在 2015 年實(shí)現(xiàn) EUV 出貨。公司通過收購上游光源供應(yīng)商與合作研發(fā),掌握光源技術(shù)的同時(shí)提高光刻 機(jī)光源供應(yīng)的穩(wěn)定性。

物鏡系統(tǒng):入股頂級(jí)物鏡供應(yīng)商蔡司。物鏡用于把掩膜版上的電路圖按比例縮小, 被激光映射到硅片上,并補(bǔ)償各種光學(xué)誤差。目前公司物鏡供應(yīng)商為德國蔡司, 而溫控、光路修正等軟件上的專利由公司掌握。ASML 與德國蔡司具備深度綁定 的合作關(guān)系,主要來自于兩家公司長期發(fā)展過程中的相互交織,二者合作可以追 述到 20 世紀(jì) 90 年代初期,1994 年 ASML 母公司飛利浦曾出借資金給蔡司升級(jí) 產(chǎn)線,ASML 亦在 2017 年收購了蔡司半導(dǎo)體光學(xué)部門 25%的股權(quán)。

d0710430-0db9-11ee-962d-dac502259ad0.png

競爭優(yōu)勢 3——客戶優(yōu)勢:與客戶共同分擔(dān)風(fēng)險(xiǎn)和回報(bào),訂單具備高確定性。公司下 游客戶主要為英特爾、臺(tái)積電、三星、美光等,一方面,考慮到光刻產(chǎn)品的重要性以及復(fù) 雜性,產(chǎn)品的研發(fā)過程離不開客戶的深度參與,公司與客戶之間具備極為緊密的合作關(guān)系。 另一方面,公司曾通過股權(quán)融資以及在研發(fā)上吸引客戶投資,與客戶形成利益共同體,同 時(shí)亦給予客戶優(yōu)先供貨權(quán),在確保產(chǎn)品滿足客戶需求的同時(shí),亦提高了客戶忠誠度與訂單 確定性:

合作研發(fā):深度合作研發(fā)以滿足客戶 Roadmap 需求,而非簡單的訂單關(guān)系。公 司產(chǎn)品具有高單價(jià)、高定制化特點(diǎn),研發(fā)生產(chǎn)過程需要客戶深度參與以滿足客戶 產(chǎn)品迭代的 Roadmap,而并非簡單的訂單關(guān)系。公司重點(diǎn)客戶主要包括英特爾、 臺(tái)積電、三星電子、美光、SK 海力士等晶圓代工廠與存儲(chǔ)芯片廠商,具備歷史 悠久的共同研發(fā)經(jīng)歷,如 2004 年阿斯麥和臺(tái)積電成功合作研發(fā)全球首臺(tái)浸入式 ***,直至 2023 年浸入式***仍具備高技術(shù)門檻。

客戶投資:下游客戶通過投資獲得優(yōu)先供貨權(quán),形成利益共同體。由于***研 發(fā)需要巨額的研發(fā)投入,研發(fā)階段公司往往需要引進(jìn)客戶投資。2012 年,英特爾、臺(tái)積電和三星參與公司的客戶共同投資計(jì)劃(CCIP),以加速當(dāng)時(shí) EUV 光 刻機(jī)的開發(fā)進(jìn)度,英特爾、臺(tái)積電與三星分別投資 41 億美元、14 億美元與 6.3 億美元,分別獲得了阿斯麥 15%、5%與 3%的股權(quán),同時(shí)亦獲得 EUV 研發(fā)成功 后的優(yōu)先供貨權(quán)。EUV ***開始供貨后,三大代工廠通過出售 ASML 股權(quán)獲 得豐厚收益,目前公司股權(quán)主要集中在 BlackRock、Vanguard 等機(jī)構(gòu)手中。

下游 Capex:三大代工廠 Capex 保持同比增長,靜待行業(yè)需求復(fù)蘇。1)近年 來智能手機(jī)、PC、服務(wù)器等下游領(lǐng)域快速發(fā)展,帶動(dòng)晶圓代工廠與存儲(chǔ)芯片廠 商的訂單需求,公司主要客戶(臺(tái)積電、三星、英特爾、美光等)Capex 持續(xù)走 高。2)短期來看,盡管半導(dǎo)體行業(yè)增長短期內(nèi)受宏觀因素壓制,三大代工廠 Capex 仍實(shí)現(xiàn)同比增長,23Q1 臺(tái)積電/三星/英特爾/美光四家公司 Capex 分別為 100/109/74/22 億美元,同比變化分別為 15%/50%/55%/-15%。3)展望 2023 年,多家公司公布其 Capex 規(guī)劃,根據(jù)各公司 22Q4 與 23Q1 業(yè)績交流會(huì)分別發(fā) 布的信息,臺(tái)積電預(yù)計(jì) 2023 年 Capex 為 320-360 億美元(同比下降 0%-11%), 三星電子預(yù)計(jì) 2023 年 Capex 將與 2022 年持平(約 400 億美元),美光預(yù)計(jì) 2023 年 Capex 約為 70 億美元(同比-42%)。整體上看,公司下游客戶受行業(yè)周期與 宏觀經(jīng)濟(jì)影響,Capex 預(yù)期維持或略微下降,其中主要客戶臺(tái)積電與三星受到影 響相對(duì)較小。

競爭優(yōu)勢 4——研發(fā)優(yōu)勢:基于高研發(fā)投入,High-NA 技術(shù)持續(xù)領(lǐng)先行業(yè)。1)研發(fā) 投入:公司始終維持技術(shù)水平顯著領(lǐng)先的另一原因,在于公司對(duì)先進(jìn)光刻技術(shù)的高研發(fā)投 入。公司 2018-2022 年研發(fā)費(fèi)用持續(xù)提高,2022 年全年研發(fā)費(fèi)用為 23 億歐元,對(duì)應(yīng)研發(fā) 費(fèi)用率為 11%。公司研發(fā)人員占比亦由 2020 年的 1.05 萬人提高至 1.42 萬人。2)High-NA 技術(shù):根據(jù)公司在投資者日與業(yè)績交流會(huì)披露,公司計(jì)劃在 2025 年發(fā)布下一代 High-NA EUV *** TWINSCAN EXE:5200,目前所有的 EUV 客戶都已經(jīng)提交了 High-NA EUV 的訂單。

d09a6d70-0db9-11ee-962d-dac502259ad0.png

展望未來:先進(jìn)與成熟制程芯片需求雙增長,高成長性與高確定性兼?zhèn)?/p>

整體上看,公司在先進(jìn)制程設(shè)備、成熟制程設(shè)備以及 IBM 業(yè)務(wù)皆有望保持中長期增 長。

1)先進(jìn)制程(<28nm):看好 EUV 產(chǎn)能、ASP 雙提升帶來的業(yè)績?cè)鲩L。1)出貨量: 用于先進(jìn)制程的***主要為 EUV 與 ArFi,2022 年公司 EUV 與 ArFi 出貨量分別為 40 臺(tái)與 81 臺(tái),公司預(yù)計(jì)到 2025 年 EUV 相對(duì) ArFi 的銷量將顯著提升。2)ASP:2022 年公 司 EUV 與 ArFi 平均售價(jià)分別為 1.8 億歐元與 6250 萬歐元,而根據(jù)中國電子報(bào)報(bào)道, High-NA EUV 單價(jià)有望超過 3 億美元(約 2.8 億歐元),幾乎為當(dāng)前 EUV 的兩倍。Low-NA EUV 的銷量提升,以及 High-NA EUV 的開啟交付,都將持續(xù)推高公司整體 ASP。3)產(chǎn) 能:為應(yīng)對(duì)未來快速增長的***需求,公司持續(xù)提高自身產(chǎn)能(非交付量),預(yù)計(jì) 2025-2026 年 DUV ***年產(chǎn)能將達(dá)到 600 臺(tái)/年,Low-NA EUV ***將達(dá)到 90 臺(tái)/年, 到 2027-2028 年 High-NA EUV ***產(chǎn)能將達(dá)到 20 臺(tái)/年。同時(shí),公司還將通過提高單 ***的晶圓產(chǎn)能,到 2025-2026 年分別將 DUV 與 Low-NA EUV 的總晶圓產(chǎn)能提升至 2020 年的 3 倍與 5 倍。4)我們認(rèn)為:EUV 持續(xù)供不應(yīng)求,公司有望通過 Low-NA EUV 的擴(kuò)產(chǎn)與 High-NA EUV 的出貨實(shí)現(xiàn)整體***業(yè)務(wù)的量價(jià)齊升;公司 2025-2030 年的營 收增長中,將有超過半數(shù)以上的增長貢獻(xiàn)將來自于 EUV(100 億歐元),我們持續(xù)看好由 EUV 主導(dǎo)的業(yè)績提升。

2)成熟制程(≥28nm):需求持續(xù)增長,28nm/40nm 需求增速較為明顯。2015-2021 年,成熟制程光掩膜的銷量累計(jì)增長 40%,反映半導(dǎo)體成熟制程市場需求的持續(xù)提升,這 主要受益于下游應(yīng)用的拓寬和單產(chǎn)品含硅量的提升(如汽車)。其中,28nm 與 40nm 的銷 量與增速皆高于 65nm 與 90-130nm,說明相對(duì)先進(jìn)制程產(chǎn)品(28/40nm)更受市場青睞。 我們認(rèn)為,成熟制程亦存在高端化趨勢,主要反映下游需求對(duì)性能的需求提升,有望帶動(dòng) 包含 ArFi 在內(nèi)的 DUV ***需求持續(xù)增長。

d0b75552-0db9-11ee-962d-dac502259ad0.png

3)IBM 業(yè)務(wù):為已安裝的***提供設(shè)備升級(jí)與相關(guān)服務(wù),受益于***累計(jì)安裝 量的提升。1)公司 IBM 業(yè)務(wù)(Installed Base Management):主要為已安裝的***提 供設(shè)備升級(jí)與相關(guān)現(xiàn)場服務(wù)(net service and field option sales),以提升已售***的性 能、可靠性、生命周期等,實(shí)現(xiàn)生產(chǎn)價(jià)值最大化。2022 年 IBM 業(yè)務(wù)收入為 57.4 億歐元, 同比增長 16%(高于公司平均水平 14%),占總收入的 27%。2)展望未來:公司在 2022 年投資者日上預(yù)計(jì),公司的 IBM(Installed Base Management)業(yè)務(wù)收入在 2025 年與 2030 年將分別達(dá)到 70-80 億歐元、110-130 億歐元,對(duì)應(yīng) 2022-2030 年 CAGR 為 8%-11%, 主要受益于公司高端***(EUV 與 ArFi ***)已安裝量的增長。

盈利預(yù)測

收入&費(fèi)用預(yù)測

收入預(yù)測:公司總營業(yè)收入包括系統(tǒng)銷售營收與 IBM 服務(wù)營收(Installed Base Management)兩大部分,其中系統(tǒng)銷售主要包括***設(shè)備及相關(guān)硬件產(chǎn)品的銷售,IBM 服務(wù)主要包括***設(shè)備現(xiàn)場升級(jí)、維護(hù)等服務(wù)。1)系統(tǒng)銷售業(yè)務(wù):***銷售是公司 的主要營收來源,產(chǎn)品主要按照光源類型與生產(chǎn)技術(shù)進(jìn)行分類,主要包括 EUV、浸入式 ArF、干式 ArF、KrF 與 i-line 五類***。我們認(rèn)為,考慮到未來三年公司產(chǎn)能的持續(xù)擴(kuò) 張,以及下游半導(dǎo)體市場應(yīng)用領(lǐng)域拓寬與用量提升(如汽車單車芯片用量提升)為公司帶 來充足訂單,公司***出貨量有望持續(xù)推動(dòng)營業(yè)收入提升。其中,高性能芯片需求的增 長亦有望提高 EUV、浸入式 ArF ***等高 ASP 產(chǎn)品的出貨量,為公司業(yè)績提高提供又 一支撐。我們預(yù)計(jì) 2023-2025 年系統(tǒng)銷售營收分別為 205/236/271 億歐元,對(duì)應(yīng)同比增速 分別為 33%/15%/15%,占總收入的 76%/77%76%。2)IBM 服務(wù):IBM 服務(wù)主要為已安 裝***提供升級(jí)、維護(hù)等服務(wù),有望持續(xù)受益于公司***累積安裝量的提高,基于較 為穩(wěn)定的單機(jī)服務(wù)收入,我們預(yù)測 2023-2025 年 IBM 服務(wù)將實(shí)現(xiàn)收入 64/72/84 億歐元, 對(duì)應(yīng)同比增速分別為 11%/12%/18%,占總收入比例分別為 24%/23%/24%。

毛利率及費(fèi)用率預(yù)測。1)毛利率方面,我們判斷公司毛利水平將持續(xù)提升,主要受 益于公司營收結(jié)構(gòu)的持續(xù)優(yōu)化,隨著高毛利的 EUV ***產(chǎn)能擴(kuò)張,其出貨量大概率持 續(xù)提高(在手訂單充足),同時(shí) IBM 服務(wù)營收貢獻(xiàn)與毛利水平亦有望隨著***保有量的 提高而提高,我們預(yù)計(jì) 2023-2025 年公司毛利率分別為 51.2%、52.8%、54.3%。2)費(fèi) 用率方面,由于財(cái)務(wù)費(fèi)用對(duì)業(yè)績影響較小,我們主要考慮銷售&管理費(fèi)用與研發(fā)費(fèi)用。結(jié) 合***行業(yè)需要持續(xù)的高研發(fā)投入與行業(yè)特性,以及公司 2025 年計(jì)劃發(fā)布下一代光刻 機(jī)需要保持研發(fā)經(jīng)費(fèi)的高投入,我們預(yù)計(jì)公司的銷售&管理費(fèi)用與研發(fā)費(fèi)用將保持穩(wěn)定, 其中,我們預(yù)計(jì) 2023-2025 年銷售&管理費(fèi)用率為 4.2%/4.1%/3.8%,研發(fā)費(fèi)用率分別為 14.8%/14.6%/13.7%。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26647

    瀏覽量

    212775
  • 光刻機(jī)
    +關(guān)注

    關(guān)注

    31

    文章

    1141

    瀏覽量

    47073
  • EUV
    EUV
    +關(guān)注

    關(guān)注

    8

    文章

    601

    瀏覽量

    85918

原文標(biāo)題:主宰半導(dǎo)體先進(jìn)制程,全球光刻機(jī)龍頭AMSL的發(fā)展史

文章出處:【微信號(hào):AIOT大數(shù)據(jù),微信公眾號(hào):AIOT大數(shù)據(jù)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    喆塔科技先進(jìn)制程AI賦能中心&校企聯(lián)合實(shí)驗(yàn)室落戶蘇州

    近年來,隨著全球半導(dǎo)體產(chǎn)業(yè)的高速發(fā)展和中國自主研發(fā)技術(shù)的不斷突破,國產(chǎn)先進(jìn)制程技術(shù)的自主化進(jìn)程成為了推動(dòng)產(chǎn)業(yè)變革的重要課題。喆塔科技先進(jìn)制程
    的頭像 發(fā)表于 10-21 14:17 ?123次閱讀
    喆塔科技<b class='flag-5'>先進(jìn)制程</b>AI賦能中心&amp;amp;校企聯(lián)合實(shí)驗(yàn)室落戶蘇州

    簡述半導(dǎo)體材料的發(fā)展史

    半導(dǎo)體材料的發(fā)展史是一段漫長而輝煌的歷程,它深刻地影響了現(xiàn)代信息社會(huì)的發(fā)展軌跡。從最初的發(fā)現(xiàn)到如今的廣泛應(yīng)用,半導(dǎo)體材料經(jīng)歷了從第一代到第三代的演變,每一次進(jìn)步都帶來了技術(shù)上的巨大飛躍
    的頭像 發(fā)表于 08-15 16:03 ?802次閱讀

    三菱電機(jī)功率器件發(fā)展史

    三菱電機(jī)從事功率半導(dǎo)體開發(fā)和生產(chǎn)已有六十多年的歷史,從早期的二極管、晶閘管,到MOSFET、IGBT和SiC器件,三菱電機(jī)一直致力于功率半導(dǎo)體芯片技術(shù)和封裝技術(shù)的研究探索,本篇章帶你了解三菱電機(jī)功率器件發(fā)展史。
    的頭像 發(fā)表于 07-24 10:17 ?449次閱讀
    三菱電機(jī)功率器件<b class='flag-5'>發(fā)展史</b>

    臺(tái)積電2023年報(bào):先進(jìn)制程先進(jìn)封裝業(yè)務(wù)成績

    據(jù)悉,臺(tái)積電近期發(fā)布的2023年報(bào)詳述其先進(jìn)制程先進(jìn)封裝業(yè)務(wù)進(jìn)展,包括N2、N3、N4、N5、N6e等工藝節(jié)點(diǎn),以及SoIC CoW、CoWoS-R、InFO_S、InFO_M_PoP等封裝技術(shù)。
    的頭像 發(fā)表于 04-25 15:54 ?538次閱讀

    半導(dǎo)體發(fā)展的四個(gè)時(shí)代

    。它改變了半導(dǎo)體行業(yè)的軌跡,為臺(tái)積電提供了實(shí)質(zhì)性的競爭優(yōu)勢。 這種模式可以帶來很多好處。執(zhí)行設(shè)計(jì)技術(shù)協(xié)同優(yōu)化 (DTCO) 的能力非常有用。下圖展示了臺(tái)積電 OIP 的覆蓋廣度。先進(jìn)半導(dǎo)體技術(shù)需要一
    發(fā)表于 03-27 16:17

    半導(dǎo)體發(fā)展的四個(gè)時(shí)代

    好的選擇。它改變了半導(dǎo)體行業(yè)的軌跡,為臺(tái)積電提供了實(shí)質(zhì)性的競爭優(yōu)勢。 這種模式可以帶來很多好處。執(zhí)行設(shè)計(jì)技術(shù)協(xié)同優(yōu)化 (DTCO) 的能力非常有用。下圖展示了臺(tái)積電 OIP 的覆蓋廣度。先進(jìn)半導(dǎo)體技術(shù)
    發(fā)表于 03-13 16:52

    電阻柜的發(fā)展史

    電阻柜發(fā)展史
    的頭像 發(fā)表于 03-08 15:22 ?351次閱讀

    中國大陸半導(dǎo)體成熟制程產(chǎn)能穩(wěn)步提升

    臺(tái)積電設(shè)在日本熊本的工廠所生產(chǎn)的成熟制程半導(dǎo)體雖然相對(duì)于先進(jìn)制程而言較為滯后,但卻在汽車和工業(yè)機(jī)械等領(lǐng)域得到了廣泛應(yīng)用,成為經(jīng)濟(jì)安全保障中的重要戰(zhàn)略資源。
    的頭像 發(fā)表于 03-06 09:38 ?584次閱讀

    2024年全球半導(dǎo)體市場發(fā)展趨勢與挑戰(zhàn)

    Sigmaintell預(yù)計(jì),2024年晶圓代工業(yè)將有望進(jìn)入復(fù)蘇周期,預(yù)計(jì)2024年一季度有望恢復(fù)至75-76%,且先進(jìn)制程恢復(fù)動(dòng)能強(qiáng)于成熟制程。
    的頭像 發(fā)表于 01-22 14:19 ?918次閱讀

    半導(dǎo)體工藝的發(fā)展史

    半導(dǎo)體工藝的歷史可以追溯到20世紀(jì)40年代末至50年代初,當(dāng)時(shí)的科學(xué)家們開始使用鍺(Ge)和硅(Si)這類半導(dǎo)體材料來制造晶體管。1947年,貝爾實(shí)驗(yàn)室的威廉·肖克利、約翰·巴丁和沃爾特·布拉頓發(fā)明
    的頭像 發(fā)表于 01-15 14:02 ?875次閱讀

    半導(dǎo)體工藝的發(fā)展史

    半導(dǎo)體工藝是當(dāng)今世界中不可或缺的一項(xiàng)技術(shù),它影響著我們生活的各個(gè)方面。它的重要性源于其能夠制造出微小而精密的電子器件,這些器件能夠在電子級(jí)別控制電流和信息流動(dòng)。這種控制能力使得我們可以創(chuàng)造出計(jì)算速度極快的處理器、儲(chǔ)存大量數(shù)據(jù)的芯片、實(shí)現(xiàn)高速通信的設(shè)備,甚至是探索未知領(lǐng)域的科學(xué)工具。
    的頭像 發(fā)表于 01-15 09:55 ?904次閱讀

    芯片先進(jìn)制程之爭:2nm戰(zhàn)況激烈,1.8/1.4nm苗頭顯露

    隨著GPU、CPU等高性能芯片不斷對(duì)芯片制程提出了更高的要求,突破先進(jìn)制程技術(shù)壁壘已是業(yè)界的共同目標(biāo)。目前放眼全球,掌握先進(jìn)制程技術(shù)的企業(yè)主要為臺(tái)積電、三星、英特爾等大廠。
    的頭像 發(fā)表于 01-04 16:20 ?806次閱讀
    芯片<b class='flag-5'>先進(jìn)制程</b>之爭:2nm戰(zhàn)況激烈,1.8/1.4nm苗頭顯露

    機(jī)器人技術(shù)的發(fā)展史簡介

    機(jī)器人的誕生地在美國,1962年美國研制出世界上第一臺(tái)工業(yè)機(jī)器人,經(jīng)過30多年的發(fā)展,美國現(xiàn)已成為世界上的機(jī)器人強(qiáng)國之一,基礎(chǔ)雄厚,技術(shù)先進(jìn)。綜觀它的發(fā)展史,道路是曲折不平坦的。
    發(fā)表于 12-20 10:17 ?1206次閱讀

    [半導(dǎo)體前端工藝:第二篇] 半導(dǎo)體制程工藝概覽與氧化

    [半導(dǎo)體前端工藝:第二篇] 半導(dǎo)體制程工藝概覽與氧化
    的頭像 發(fā)表于 11-29 15:14 ?1340次閱讀
    [<b class='flag-5'>半導(dǎo)體</b>前端工藝:第二篇] <b class='flag-5'>半導(dǎo)體制程</b>工藝概覽與氧化

    你不知道的FPC,它的發(fā)展史竟然是這樣的!

    你不知道的FPC,它的發(fā)展史竟然是這樣的!
    的頭像 發(fā)表于 11-15 10:48 ?940次閱讀