0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

芯片先進(jìn)制程之爭:2nm戰(zhàn)況激烈,1.8/1.4nm苗頭顯露

旺材芯片 ? 來源:韋思維 全球半導(dǎo)體觀察 ? 2024-01-04 16:20 ? 次閱讀

相比成熟制程,近年隨著AI、數(shù)據(jù)中心等應(yīng)用驅(qū)動,先進(jìn)制程成為了業(yè)界“香餑餑”。細(xì)觀晶圓代工產(chǎn)業(yè)鏈動態(tài),從研發(fā)、爭搶先進(jìn)設(shè)備、再到搶單,臺積電、三星、英特爾等大廠動作不斷,同時新軍Rapidus正強(qiáng)勢入局,可見先進(jìn)制程之戰(zhàn)已悄然打響,并愈演愈烈。

從搶單開始,2nm戰(zhàn)況如何?

隨著GPU、CPU等高性能芯片不斷對芯片制程提出了更高的要求,突破先進(jìn)制程技術(shù)壁壘已是業(yè)界的共同目標(biāo)。目前放眼全球,掌握先進(jìn)制程技術(shù)的企業(yè)主要為臺積電、三星、英特爾等大廠。

據(jù)TrendForce集邦咨詢12月6日研究顯示,2023年第三季全球前十大晶圓代工市場中,臺積電以57.9%的市占率占據(jù)全球第一的位置,而三星以12.4的市占率位居全球第二,英特爾(IFS)位居全球第九位,市占率為1%。

1cb5b8d0-a23f-11ee-8b88-92fbcf53809c.png

多年來,臺積電一直是晶圓代工產(chǎn)業(yè)的半壁江山,同時還手握著英偉達(dá)、蘋果、高通等下游廠商多數(shù)訂單,并成為人工智能芯片供應(yīng)商的主要純晶圓代工合作伙伴。其中,按英偉達(dá)此前披露的營收計(jì)算,臺積電是全球最大的芯片代工制造商,也是英偉達(dá)高性能AI芯片的獨(dú)家生產(chǎn)商。

不過,業(yè)界推測,接下來英特爾或?qū)⒋蚱飘?dāng)下臺積電獨(dú)家代工英偉達(dá)高性能AI芯片的狀況。英偉達(dá)首席財(cái)務(wù)官柯蕾絲(Colette Kress)近日在參加瑞銀全球科技大會時暗示,英偉達(dá)不排除增加英特爾代工(IFS)作為其晶圓代工供應(yīng)商,生產(chǎn)新一代芯片。

而近期臺積電和三星也是動作頻頻。臺積電先是向蘋果和英偉達(dá)展示產(chǎn)品測試結(jié)果,后又拿下了蘋果訂單。據(jù)英國金融時報引述知情人士透露,臺積電已向蘋果和英偉達(dá)等大客戶展示N2(即2nm)原型的制程工藝測試結(jié)果。

另據(jù)中國臺灣工商時報報道,在全球芯片大廠爭相發(fā)展2納米制程之際,臺積電再度勝出搶下蘋果訂單,預(yù)計(jì)2025年上市的iPhone 17 Pro將率先采用臺積電2納米芯片。

此外,近日臺媒引述消息人士稱,英偉達(dá)已向臺積電下單銷往中國大陸的人工智能處理器,這些訂單是SHR (Super Hot Run,超級急件),計(jì)劃于2024年第一季度開始履行。

三星方面,消息稱三星已拿到了高通的訂單,高通已計(jì)劃下一代高端手機(jī)芯片采用三星SF2(2nm)制程生產(chǎn)。同時,三星將推出2nm原型,并開出折扣價,以吸引英偉達(dá)等客戶。

針對三星在2nm制程采降價搶單的傳聞,臺積電董事長劉德音向業(yè)界表示“客戶還是看技術(shù)的質(zhì)量”,透露出對臺積電先進(jìn)制程技術(shù)與良率優(yōu)勢的信心。

據(jù)業(yè)內(nèi)人士透露臺積電即將敲定其未來3nm和2nm客戶,客戶不太可能轉(zhuǎn)移訂單。除了蘋果之外,AMD、英偉達(dá)、博通、聯(lián)發(fā)科和高通也是臺積電3nm和2nm芯片的客戶。這些主要客戶不太可能在2027年之前減少臺積電3nm和2nm晶圓的開工量。

與此同時,日本半導(dǎo)體初創(chuàng)公司Rapidus將為加拿大公司代工2nm AI芯片。11月16日,Rapidus與加拿大初創(chuàng)芯片公司Tenstrent在美國交換商業(yè)諒解備忘錄,前者將為后者代工AI芯片。Rapidus的目標(biāo)是在2027年在日本國內(nèi)量產(chǎn)2nm制程芯片,目前正尋求產(chǎn)業(yè)鏈的合作。

2nm關(guān)鍵設(shè)備EUV,廠商“搶瘋了”

隨著EUV光刻機(jī)在7nm以下制程的重要性日益增強(qiáng),半導(dǎo)體大廠與ASML的合作也變得更加頻繁和緊密。目前臺積電與三星都在使用EUV設(shè)備進(jìn)行制造,包括臺積電7nm、5nm、3nm制程,三星于韓國華城建置的EUV Line (7nm、5nm及4nm)、以及3nm GAA制程等。在2nm制程上,臺積電、三星、英特爾、Rapidus都已接洽ASML,其目的正是為了能使2nm制程量產(chǎn)的關(guān)鍵設(shè)備,即ASML手中最新的High-NA EUV光刻機(jī)。

ASML是一家全球最大的光刻機(jī)制造商,也是唯一一家EUV光刻機(jī)制造商。據(jù)悉,ASML,計(jì)劃在2023年底前發(fā)表首臺商用High-NA (NA=0.55) EUV光刻機(jī),并在2025年量產(chǎn)出貨,其數(shù)值孔徑NA將從0.33提高到0.55,這一技術(shù)進(jìn)步將使得芯片制造商能夠利用超精細(xì)圖案化技術(shù)來制造2nm及以下更先進(jìn)制程的芯片。

ASML計(jì)劃在2024年生產(chǎn)10臺High-NA EUV光刻機(jī),未來幾年ASML計(jì)劃將此類芯片制造設(shè)備產(chǎn)能提高到每年20臺,據(jù)業(yè)界預(yù)估,High-NA EUV光刻機(jī)曝光季將會有五大客戶,包括英特爾、臺積電、三星、美光等。

其中,三星正準(zhǔn)備確保下一代High-NA EUV光刻機(jī) 的產(chǎn)量,預(yù)計(jì)這款設(shè)備將于今年晚時推出原型,明年正式供貨。值得注意的是,ASML于今年12月中旬與三星電子簽署備忘錄,將共同投資1萬億韓元在韓國建立研究中心,并將利用下一代極紫外(EUV)光刻機(jī)研究先進(jìn)半導(dǎo)體制程技術(shù)。據(jù)悉,三星電子將在五年內(nèi)從ASML采購50套設(shè)備,每套單價約為2000億韓元,總價值可達(dá)10萬億韓元。

英特爾將于今年年底導(dǎo)入ASML High-NA EUV光刻機(jī),用在Intel 18A 制程,據(jù)悉英特爾已采購其中6臺。英特爾強(qiáng)調(diào),有了High-NA EUV光刻機(jī),理論上可實(shí)現(xiàn)“四年五節(jié)點(diǎn)制程”目標(biāo)。

Rapidus決定在2024年年底引入EUV光刻機(jī),并將派遣員工赴荷蘭ASML學(xué)習(xí)EUV極紫外光刻技術(shù),同時,ASML此前也決定在日本北海道千歲市設(shè)立技術(shù)支援部門,就近支持Rapidus芯片工廠。今年以來,Rapidus一直在與IBM、ASML、IMEC等公司合作,目標(biāo)是今年派遣100名員工至IBM、ASML學(xué)習(xí)先進(jìn)芯片技術(shù)。截至目前,該公司已雇傭了約300名員工。

Rapidus正在北海道建設(shè)芯片工廠,計(jì)劃于2027年量產(chǎn)2nm制程芯片。Rapidus第一座工廠“IIM-1”已在2023年9月動工,試產(chǎn)產(chǎn)線預(yù)計(jì)2025年4月啟用,2027年開始量產(chǎn)。該公司表示,在正式量產(chǎn)前,將確保招募1000名員工。

值得一提的是,臺積電于今年9月宣布收購將以不超4.328億美元的價格收購英特爾旗下子公司IMS,后者專注于研發(fā)和生產(chǎn)電子束光刻機(jī)。業(yè)界認(rèn)為臺積電此舉可確保關(guān)鍵設(shè)備的技術(shù)開發(fā),并滿足2nm商用化的供應(yīng)需求。針對2nm制程所用設(shè)備,臺積電還將延續(xù)使用EUV光刻機(jī)。

2nm未見果,1.8/1.4nm現(xiàn)身影

今年,先進(jìn)制程動態(tài)不斷,尤其是3nm、2nm等最先進(jìn)制程。從共同點(diǎn)來講,大廠們的目標(biāo)無非是為了突破芯片技術(shù)壁壘,占領(lǐng)新技術(shù)高地,從而拿下更多市場份額。

此前晶圓代工廠商2nm以下制程的研發(fā)時間線曝光于公眾視野時,就曾引起轟動,業(yè)界激烈討論的重點(diǎn)仍是,該技術(shù)的進(jìn)步是否能帶來更好的性能和良率等問題,而也正因此2nm以下制程技術(shù)的熱度一直居高不下。

筆者針對臺積電、英特爾、三星、Rapidus芯片制程研發(fā)進(jìn)行了最新跟蹤:臺積電1.4nm開發(fā)順利;英特爾1.8nm 18A工藝研發(fā)完成;三星計(jì)劃于2027年進(jìn)入1.4nm半導(dǎo)體制程技術(shù)領(lǐng)域;Rapidus正在構(gòu)建1nm芯片產(chǎn)品的供應(yīng)體制。

臺積電在IEEE國際電子器件會議(IEDM)的“邏輯的未來”小組上透露,臺積電1.4nm級制造技術(shù)的開發(fā)進(jìn)展順利進(jìn)行。據(jù)SemiAnalysis的Dylan Patel發(fā)布的幻燈片指示,臺積電的1.4nm生產(chǎn)節(jié)點(diǎn)正式命名為A14。不過關(guān)于A14量產(chǎn)時程及其規(guī)格,臺積電暫未披露更多信息,但鑒于N2計(jì)劃于2025年末、N2P計(jì)劃于2026年末,業(yè)界猜測A14會在此之后2027-2028年間推出。

關(guān)于臺積電是否會采用垂直堆疊互補(bǔ)場效應(yīng)晶體管(CEFT)結(jié)構(gòu),或是沿用2nm制程將采用的環(huán)繞柵極場效應(yīng)晶體管(GAAFET),以及臺積電是否會在2027年至2028年期間為其A14工藝技術(shù)采用高數(shù)值孔徑EUV(High NA EUV)光刻機(jī),目前仍尚不清楚。

英特爾方面,英特爾CEO帕特·基辛格在Intel Innovation Day論壇表示,Intel 18A制程目前有許多測試晶圓正在生產(chǎn)中,這一技術(shù)已經(jīng)研發(fā)完成,正加速進(jìn)入生產(chǎn)階段。

據(jù)介紹,Intel 18A節(jié)點(diǎn)(1.8nm)由于尺寸進(jìn)一步縮小,需采用RibbonFET晶體管,使用GAA全環(huán)繞柵極架構(gòu),類似多片納米片堆疊在一起,這樣不僅能夠縮小尺寸,而且柵極能夠更好地控制電流的流通,同時在任意電壓下提供更強(qiáng)的驅(qū)動電流,讓晶體管開關(guān)的速度更快,從而提升晶體管的性能。

基辛格表示,英特爾定下的“4年推進(jìn)5代制程”目標(biāo)正在穩(wěn)步實(shí)現(xiàn),希望在2025年重新奪回半導(dǎo)體生產(chǎn)領(lǐng)導(dǎo)者地位,超越臺積電、三星。

英特爾稱Intel 18A制程不會僅供內(nèi)部使用,未來也將為愛立信等外部客戶代工晶圓。此前基辛格于10月末透露,Intel 18A制程已于Q3敲定了三家晶圓代工客戶,預(yù)計(jì)年底有望簽下第四家。此外,用于下一代服務(wù)器、PC處理器的Intel 3制程技術(shù),目前正在“除錯”階段,預(yù)計(jì)2024年即可投產(chǎn)。

從英特爾制程研發(fā)路線看,Intel 7制程技術(shù)已大量生產(chǎn),Intel 4制程也已經(jīng)量產(chǎn),Intel 3制程準(zhǔn)備開始量產(chǎn),Intel 20A制程將如期于2024年量產(chǎn),Intel 18A制程將是5代制程目標(biāo)的終極制程,已確定相關(guān)設(shè)計(jì)規(guī)則,將于明年下半年量產(chǎn)。

三星方面,一直以來,三星在努力確保能采購更多EUV光刻機(jī),目標(biāo)是希望能在2024年上半年進(jìn)入第二代3納米制程技術(shù),在2025年年底前推出2nm制程,2027年年底之前推出1.4nm制程。

三星是首家跨入并轉(zhuǎn)型環(huán)繞式柵極(GAA)晶體管架構(gòu)的公司,三星希望從SF3進(jìn)展至SF2會相對流暢。三星旗下晶圓代工部門Samsung Foundry首席技術(shù)官Jeong Ki-tae表示,GAA結(jié)構(gòu)晶體管是一項(xiàng)可持續(xù)的技術(shù),此前的FinFET鰭式晶體管很難進(jìn)一步改進(jìn)。他還透露,三星正在與大客戶就即將推出的2nm、1.4nm制程工藝進(jìn)行談判。

Rapidus方面,據(jù)報道,Rapidus、東京大學(xué)與法國半導(dǎo)體研究機(jī)構(gòu)Leti合作,將研發(fā)1nm級別芯片設(shè)計(jì)基礎(chǔ)技術(shù),將在2024年開展人才交流、技術(shù)共享。Rapidus將利用Leti的技術(shù),構(gòu)建1nm芯片產(chǎn)品的供應(yīng)體制。

報道指出,他們的共同目標(biāo)是確立設(shè)計(jì)開發(fā)線寬為1.4nm~1nm半導(dǎo)體所需的基礎(chǔ)技術(shù)。這一節(jié)點(diǎn)需要與傳統(tǒng)不同的晶體管結(jié)構(gòu),Leti在該領(lǐng)域的成膜等關(guān)鍵技術(shù)上占優(yōu)。

結(jié)語

在2nm及以下先進(jìn)制程的競爭中,雖然研發(fā)最終成果還未具體披露,但從搶單、購買先進(jìn)設(shè)備等動作也可見,各大廠已經(jīng)在為日后未雨綢繆。叢上述披露的時間線來看,針對2nm制程的研發(fā)答案將于2025年揭曉,但更先進(jìn)制程的戰(zhàn)斗仍在繼續(xù),未來技術(shù)如何演變?我們拭目以待。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    49938

    瀏覽量

    419604
  • gpu
    gpu
    +關(guān)注

    關(guān)注

    27

    文章

    4631

    瀏覽量

    128440
  • 先進(jìn)制程
    +關(guān)注

    關(guān)注

    0

    文章

    81

    瀏覽量

    8395

原文標(biāo)題:芯片先進(jìn)制程之爭:2nm戰(zhàn)況激烈,1.8/1.4nm苗頭顯露

文章出處:【微信號:wc_ysj,微信公眾號:旺材芯片】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    三星加速2nm1.4nm制程投資

    三星正加速其在先進(jìn)制程領(lǐng)域的投資步伐,計(jì)劃于明年第一季度在平澤一廠的“S3”代工線建成一條月產(chǎn)能達(dá)7000片晶圓的2nm生產(chǎn)線。此舉標(biāo)志著三星在推進(jìn)其技術(shù)路線圖方面邁出了重要一步。
    的頭像 發(fā)表于 10-11 16:09 ?189次閱讀

    三星展望2027年:1.4nm工藝與先進(jìn)供電技術(shù)登場

    在半導(dǎo)體技術(shù)的競技場上,三星正全力沖刺,準(zhǔn)備在2027年推出一系列令人矚目的創(chuàng)新。近日,三星晶圓代工部門在三星代工論壇上公布了其未來幾年的技術(shù)路線圖,其中包括備受矚目的1.4nm制程工藝、芯片背面供電網(wǎng)絡(luò)(BSPDN)技術(shù)和硅光
    的頭像 發(fā)表于 06-21 09:30 ?328次閱讀

    臺積電延后1.4nm工廠,優(yōu)先2nm、1.6nm制程

    關(guān)于為何推遲1.4納米工廠建設(shè),臺積電供應(yīng)鏈分析認(rèn)為,由于2納米和A16(1.6納米)制程需求旺盛,預(yù)計(jì)分別于2025年和2026年量產(chǎn),因此臺積電將優(yōu)先確保這兩種制程的生產(chǎn)。相比之下
    的頭像 發(fā)表于 04-30 09:55 ?320次閱讀

    蘋果2nm芯片曝光,性能提升10%-15%

    據(jù)媒體報道,目前蘋果已經(jīng)在設(shè)計(jì)2nm芯片,芯片將會交由臺積電代工。
    的頭像 發(fā)表于 03-04 13:39 ?921次閱讀

    臺積電2nm制程進(jìn)展順利

    臺中科學(xué)園區(qū)已初步規(guī)劃A14和A10生產(chǎn)線,將視市場需求決定是否新增2nm制程工藝。
    的頭像 發(fā)表于 01-31 14:09 ?565次閱讀

    蘋果欲優(yōu)先獲取臺積電2nm產(chǎn)能,預(yù)計(jì)2024年安裝設(shè)備生產(chǎn)

    有消息人士稱,蘋果期望能夠提前獲得臺積電1.4nm(A14)以及1nm(A10)兩種更為先進(jìn)的工藝的首次產(chǎn)能供應(yīng)。據(jù)了解,臺積電2nm技術(shù)開發(fā)進(jìn)展順利,預(yù)期采用GAA(全柵極環(huán)繞)技術(shù)
    的頭像 發(fā)表于 01-25 14:10 ?444次閱讀

    臺積電2nm制程穩(wěn)步推進(jìn),2025年將實(shí)現(xiàn)量產(chǎn)

    得益于2nm制程項(xiàng)目的順利推進(jìn),寶山、高雄新晶圓廠的建造工程正有序進(jìn)行。臺中科學(xué)園區(qū)已初步確定了A14與A10生產(chǎn)線的布局,具體是否增設(shè)2nm制程工藝將根據(jù)市場需求再定。
    的頭像 發(fā)表于 01-16 09:40 ?532次閱讀

    臺積電:1.4nm 研發(fā)已經(jīng)全面展開

    來源:EETOP,謝謝 編輯:感知芯視界 萬仞 臺積電在近日舉辦的IEEE 國際電子器件會議(IEDM)的小組研討會上透露,其1.4nm 級工藝制程研發(fā)已經(jīng)全面展開。同時,臺積電還再次強(qiáng)調(diào),2nm
    的頭像 發(fā)表于 12-19 09:31 ?561次閱讀

    臺積電首次提及 1.4nm 工藝技術(shù),2nm 工藝按計(jì)劃 2025 年量產(chǎn)

    12 月 14 日消息,臺積電在近日舉辦的 IEEE 國際電子器件會議(IEDM)的小組研討會上透露,其 1.4nm 級工藝制程研發(fā)已經(jīng)全面展開。同時,臺積電重申,2nm制程將按計(jì)
    的頭像 發(fā)表于 12-18 15:13 ?453次閱讀

    臺積電1.4nm制程工藝研發(fā)持續(xù),預(yù)計(jì)2027-2028年量產(chǎn)

    此外,對于臺積電的1.4nm制程技術(shù),媒體預(yù)計(jì)其名稱為A14。從技術(shù)角度來看,A14節(jié)點(diǎn)可能不會運(yùn)用垂直堆疊互補(bǔ)場效應(yīng)晶體管(CFET)技術(shù)。
    的頭像 發(fā)表于 12-15 10:23 ?577次閱讀

    今日看點(diǎn)丨臺積電首次提及 1.4nm 工藝技術(shù),2nm 工藝按計(jì)劃 2025 年量產(chǎn);消息稱字節(jié)跳動將取消下一代 VR 頭顯

    1. 臺積電首次提及 1.4nm 工藝技術(shù),2nm 工藝按計(jì)劃 2025 年量產(chǎn) ? 臺積電在近日舉辦的 IEEE 國際電子器件會議(IEDM)的小組研討會上透露,其 1.4nm 級工藝制程
    發(fā)表于 12-14 11:16 ?962次閱讀

    臺積電1.4nm工藝研發(fā)全面啟動,2nm預(yù)計(jì)2025年量產(chǎn)

    SemiAnalysis自媒體Dylan Patel曝光的幻燈片顯示,臺積電1.4nm制程的正式名稱為A14。截至目前,關(guān)于該節(jié)點(diǎn)的具體量產(chǎn)日期及參數(shù)暫未公開。但是,根據(jù)其與N2及N2
    的頭像 發(fā)表于 12-14 10:27 ?471次閱讀

    2nm意味著什么?2nm何時到來?它與3nm有何不同?

    3nm工藝剛量產(chǎn),業(yè)界就已經(jīng)在討論2nm了,并且在調(diào)整相關(guān)的時間表。2nm工藝不僅對晶圓廠來說是一個重大挑戰(zhàn),同樣也考驗(yàn)著EDA公司,以及在此基礎(chǔ)上設(shè)計(jì)芯片的客戶。
    的頭像 發(fā)表于 12-06 09:09 ?2237次閱讀

    三星:已實(shí)現(xiàn)AI芯片70%的產(chǎn)能,有信心對抗臺積電

    三星代工業(yè)務(wù)計(jì)劃提高HPC及汽車芯片銷售比例,降低手機(jī)業(yè)務(wù)的占比,目標(biāo)是通過提升3nm以下先進(jìn)制程的成熟度,來吸引更多的AI半導(dǎo)體客戶。三星計(jì)劃從2026年開始使用2nm工藝生產(chǎn)汽車和
    的頭像 發(fā)表于 11-25 11:30 ?342次閱讀
    三星:已實(shí)現(xiàn)AI<b class='flag-5'>芯片</b>70%的產(chǎn)能,有信心對抗臺積電

    關(guān)于1.4nm,臺積電重申

    首先看南韓三星電子,他們近期矢言要在2027年推出1.4納米芯片制造,超越臺積電和英特爾代工服務(wù),也對按計(jì)劃在2025年生產(chǎn)2納米芯片充滿信心。知名電子媒體EDN報導(dǎo),三星承諾量產(chǎn)
    的頭像 發(fā)表于 11-23 16:04 ?577次閱讀