0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

MindSDK為MM32實(shí)現(xiàn)了一組TIM樣例工程

冬至子 ? 來源:靈動(dòng)MM32 MCU ? 作者:靈動(dòng)MM32 MCU ? 2023-06-30 17:32 ? 次閱讀

** 引言**

MindSDK為MM32使用星辰處理器內(nèi)核的系列微控制器,實(shí)現(xiàn)了一組TIM樣例工程,MindSDK中的TIM模塊對(duì)應(yīng)硬件定時(shí)器TIM外設(shè)。本文通過講解TIM模塊的樣例工程,介紹TIM模塊的功能和用法。關(guān)于TIM模塊對(duì)應(yīng)的驅(qū)動(dòng)程序,以及TIM外設(shè)模塊硬件的實(shí)現(xiàn)細(xì)節(jié),可具體查閱MindSDK工程的源文件,以及MM32微控制器(例如MM32F5270)的用戶手冊。

樣例工程

MindSDK中為TIM驅(qū)動(dòng)設(shè)計(jì)的樣例工程包括:

  • tim_basic
  • tim_one_time_run
  • tim_output_compare_pwm
  • tim_input_capture
  • tim_external_trigger_input
  • tim_slave_mode
  • tim_slave_mode_encoder
  • tim_comp_output_compare_pwm

其中,tim_basic、tim_one_time_run、tim_output_compare_pwm、tim_input_capture和tim_external_trigger_input 分別演示了定時(shí)器最典型的功能,包括定時(shí)、輸出比較、輸入捕捉,以及對(duì)外部脈沖進(jìn)行計(jì)數(shù)等。另外,還有一些不大典型,用在特殊應(yīng)用場景的功能,例如,使用“從機(jī)”模式干預(yù)常規(guī)的定時(shí)器計(jì)數(shù),通過硬件實(shí)現(xiàn)互補(bǔ)的PWM輸出(常用于電機(jī)控制應(yīng)用中控制驅(qū)動(dòng)橋)。

tim_basic

tim_basic 描述了使用TIM模塊最基本的方式,周期定時(shí)器。在樣例工程中,通過 TIM_Init() 函數(shù),配置一個(gè)選定的TIM外設(shè)模塊的計(jì)數(shù)引擎,為連續(xù)計(jì)數(shù)模式 TIM_PeriodMode_Continuous ,并指定計(jì)數(shù)周期為 APP_TIM_UPDATE_PERIOD 。然后,啟用選定TIM外設(shè)模塊對(duì)應(yīng)的NVIC中斷。最后,通過調(diào)用 TIM_Start() 驅(qū)動(dòng)函數(shù),啟動(dòng)定時(shí)器開始計(jì)數(shù)。

每當(dāng)定時(shí)器計(jì)數(shù)到達(dá)預(yù)設(shè)的計(jì)數(shù)周期值后,計(jì)數(shù)值折返為0,重新開始計(jì)數(shù)。同時(shí),TIM會(huì)觸發(fā)NVIC中斷, tim_basic 樣例工程中為TIM中斷實(shí)現(xiàn)的服務(wù)程序中,實(shí)現(xiàn)了通過串口發(fā)送字符 * 的操作。

最終程序運(yùn)行時(shí),可以在PC機(jī)上的串口通信終端看到以指定周期輸出的字符 * ,驗(yàn)證定時(shí)器中斷被周期觸發(fā)。

tim_one_time_run

tim_one_time_run 相對(duì)于 tim_basic 樣例工程實(shí)現(xiàn)周期觸發(fā)定時(shí)器中斷服務(wù),實(shí)現(xiàn)了每次啟動(dòng)定時(shí)器后,僅觸發(fā)一次中斷的用法。

其實(shí)現(xiàn)原理,是在 tim_basic 配置定時(shí)器周期運(yùn)行的基礎(chǔ)之上,修改初始化配置 .PeriodMode 的值為 TIM_PeriodMode_OneTimeRun。之后,每次通過 TIM_Start() 函數(shù)啟動(dòng)定時(shí)器后,定時(shí)器僅計(jì)數(shù)一個(gè)周期后,觸發(fā)中斷,然后停止計(jì)數(shù)。

實(shí)際運(yùn)行程序時(shí),用戶在串口調(diào)試終端中每次輸入任意字符,程序均會(huì)調(diào)用一次 TIM_Start() 函數(shù),延時(shí)指定計(jì)數(shù)周期后,在定時(shí)器中斷服務(wù)程序中打印字符 * 到串口終端界面。

tim_output_compare_pwm

tim_output_compare_pwm 實(shí)現(xiàn)的是一個(gè)通過輸出比較功能產(chǎn)生PWM輸出信號(hào)的樣例工程。

其實(shí)現(xiàn)原理,是在 tim_basic 基礎(chǔ)之上,額外通過驅(qū)動(dòng)函數(shù) TIM_EnableOutputCompare(),啟動(dòng)并配置給定通道 BOARD_TIM_CHANNEL 為輸出比較功能。其中,指定通道的配置屬性 .PinPolarity 的值為 TIM_PinPolarity_Rising,.RefOutMode 值為 TIM_OutputCompareRefOut_FallingEdgeOnMatch,設(shè)定同通道綁定的硬件引腳信號(hào)在計(jì)數(shù)初始的階段為高電平,當(dāng)計(jì)數(shù)值達(dá)到通道數(shù)據(jù)寄存器中設(shè)定的匹配值時(shí),輸出下降沿信號(hào),輸出低電平。

實(shí)際運(yùn)行程序時(shí),用戶通過 TIM_Init() 函數(shù)配置定時(shí)器的基本定時(shí)單元,對(duì)應(yīng)的計(jì)數(shù)周期即為輸出PWM信號(hào)波形的周期,啟用輸出比較的指定通道綁定的引腳即為輸出PWM信號(hào)的引腳,通過 TIM_PutChannelValue() 函數(shù)設(shè)定輸出波形在整個(gè)周期下降沿的位置,進(jìn)而調(diào)整PWM輸出信號(hào)波形的占空比。通道引腳在每次定時(shí)器周期的開始輸出為高電平,在周期內(nèi)設(shè)定的匹配值的位置產(chǎn)生下降沿,轉(zhuǎn)而輸出低電平,再計(jì)數(shù)周期結(jié)束折返為0時(shí),恢復(fù)為高電平。如此周而復(fù)始,實(shí)現(xiàn)輸出PWM信號(hào)波形。

tim_input_capture

tim_intput_capture 實(shí)現(xiàn)的是一個(gè)使用定時(shí)器為外部輸入的觸發(fā)信號(hào)記錄時(shí)刻的樣例工程。

其實(shí)現(xiàn)原理,是在 tim_basic 基礎(chǔ)之上,額外通過驅(qū)動(dòng)函數(shù) TIM_EnableInputCapture(),啟動(dòng)并配置給定通道 BOARD_TIM_CHANNEL 為輸入捕獲功能。其中,指定給定通道的捕獲輸入信號(hào)極性 .PinPolarity 的值為 TIM_PinPolarity_Falling,表示在該給定通道綁定的引腳上出現(xiàn)下降沿信號(hào)時(shí),觸發(fā)捕獲事件。此時(shí),捕獲計(jì)數(shù)器當(dāng)前的計(jì)數(shù)值到給定通道的通道數(shù)據(jù)寄存器中,這個(gè)值就可以作為該捕獲事件的時(shí)刻記錄。

實(shí)際運(yùn)行程序時(shí),用戶通過 TIM_Init() 函數(shù)配置定時(shí)器的基本定時(shí)單元,此時(shí)定時(shí)器的計(jì)數(shù)周期,就是可能捕獲時(shí)刻值的有效范圍。然后在電路上使用一個(gè)按鍵接入到指定通道綁定的引腳上,模擬產(chǎn)生下降沿觸發(fā)信號(hào)。當(dāng)按下按鍵時(shí),觸發(fā)信號(hào)到來,觸發(fā)程序中的通道事件中斷服務(wù)程序,在其中可以通過 TIM_GetChannelValue() 函數(shù)讀取本次輸入捕獲事件發(fā)生時(shí)的計(jì)數(shù)時(shí)刻。

tim_external_trigger_input

相對(duì)于 tim_basic 中,使用芯片內(nèi)部的時(shí)鐘源脈沖進(jìn)行計(jì)數(shù),tim_external_trigger_input 樣例工程可以對(duì)用戶指定引腳上的脈沖進(jìn)行計(jì)數(shù),計(jì)數(shù)的脈沖來自于芯片外部的信號(hào)源。

其實(shí)現(xiàn)原理,是在 tim_basic 基礎(chǔ)上,額外通過驅(qū)動(dòng)函數(shù) TIM_EnableExtTriggerIn(),配置啟用外部對(duì)外部輸入的脈沖信號(hào)進(jìn)行計(jì)數(shù)的功能,固定從TIM外設(shè)模塊的 ETR 引腳捕獲來自外部的脈沖信號(hào)。每次捕獲到一個(gè)脈沖信號(hào),等同于使用芯片內(nèi)部時(shí)鐘源的脈沖,計(jì)數(shù)器自增計(jì)數(shù)。此時(shí),還可以基于這個(gè)新的時(shí)鐘源,使用周期計(jì)數(shù)中斷等功能。

實(shí)際運(yùn)行程序時(shí),用戶可以將一個(gè)按鍵接入到指定TIM外設(shè)模塊的 ETR 引腳上,用手動(dòng)按按鍵產(chǎn)生脈沖信號(hào)。在程序中指定定時(shí)器的計(jì)數(shù)周期 APP_TIM_UPDATE_PERIOD 值為2,意味著每輸入兩次脈沖,就會(huì)觸發(fā)一次定時(shí)器周期中斷。

tim_slave_mode

tim_slave_mode 實(shí)現(xiàn)的是一個(gè)使用從機(jī)TIM從機(jī)模式的樣例工程。實(shí)際上,這里的“從機(jī)”同從屬關(guān)系的的“從”是沒關(guān)系的,而是可以理解為更豐富的可由用戶控制的工作模式。

tim_slave_mode 工程,在 tim_basic 基礎(chǔ)上,額外通過驅(qū)動(dòng)函數(shù) TIM_EnableSlaveMode(),配置了其中一種“從機(jī)”模式:使用 ETR 作為控制信號(hào)(下降沿)的引腳 TIM_SlaveIn_Alt7,當(dāng)控制信號(hào)到來時(shí),選擇暫停計(jì)數(shù) TIM_SlaveResp_Alt5。

實(shí)際運(yùn)行程序時(shí),用戶可以將一個(gè)按鍵接入到指定TIM外設(shè)模塊的 ETR 引腳上,用手動(dòng)按按鍵產(chǎn)生電平控制信號(hào)。當(dāng)按下按鍵時(shí),控制定時(shí)器暫停計(jì)數(shù),松開按鍵時(shí),定時(shí)器恢復(fù)計(jì)數(shù)。正常計(jì)數(shù)到一整個(gè)周期時(shí),會(huì)產(chǎn)生中斷。如此,當(dāng)按下按鍵時(shí),會(huì)影響定時(shí)器中斷的周期。

tim_slave_mode_encoder

tim_slave_mode_encoder 工程基于 tim_input_capture 工程,通過額外調(diào)用 TIM_EnableSlaveMode() 并傳入一組特定的配置,啟用了一種特殊的“從機(jī)”模式,從而實(shí)現(xiàn)了編碼器的功能。

tim_comp_output_compare_pwm

tim_comp_output_compare_pwm 基于tim_output_compare_pwm 工程,通過額外的驅(qū)動(dòng)函數(shù) TIM_EnableCompOutput(),實(shí)現(xiàn)了PWM信號(hào)的互補(bǔ)輸出,這意味著當(dāng)使用正常的輸出比較通道輸出PWM信號(hào)時(shí),還開啟了硬件設(shè)計(jì)的,使用對(duì)應(yīng)的另一個(gè)通道,輸出電平極性剛好相反的PWM信號(hào)。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 微控制器
    +關(guān)注

    關(guān)注

    48

    文章

    7399

    瀏覽量

    150681
  • 處理器
    +關(guān)注

    關(guān)注

    68

    文章

    19051

    瀏覽量

    228561
  • 電機(jī)控制
    +關(guān)注

    關(guān)注

    3519

    文章

    1838

    瀏覽量

    267891
  • 定時(shí)器
    +關(guān)注

    關(guān)注

    23

    文章

    3228

    瀏覽量

    114202
  • PWM輸出
    +關(guān)注

    關(guān)注

    1

    文章

    66

    瀏覽量

    5110
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    MM32和BLM32是什么關(guān)系?

    MM32和BLM32是什么關(guān)系?兩家的手冊一樣?
    發(fā)表于 12-06 13:28

    MM32 TIM編碼器模式

    來源 靈動(dòng)MM32、編碼器功能簡介在馬達(dá)類控制應(yīng)用中,正交編碼器可以反饋馬達(dá)的轉(zhuǎn)子位置及轉(zhuǎn)速信號(hào)。MM32系列MCU集成了正交編碼器接口,便于客戶使用。先簡單回顧下編碼器原理:如果兩個(gè)信號(hào)相位差
    發(fā)表于 02-08 16:40

    MM32 六步PWM輸出

    `來源 靈動(dòng)MM32針對(duì)電動(dòng)馬達(dá)方案中需要使用到六步PWM輸出功能,本篇文章將向大家介紹如何使用MM32L0系列MCU實(shí)現(xiàn)六步PWM輸出功能。 MM32高級(jí)控制定時(shí)器
    發(fā)表于 03-15 17:16

    利他、誠信、努力的MM32 MCU —— 2018靈動(dòng)MM32 協(xié)作大會(huì)成功舉辦

    博士的觀點(diǎn)得到了全場來賓的高度認(rèn)同和稱贊。隨后,靈動(dòng)微電子MCU產(chǎn)品事業(yè)部總經(jīng)理婁方超先生,大家介紹靈動(dòng)MM32 MCU市場、研發(fā)及新產(chǎn)品的最新動(dòng)態(tài)。婁方超首先和大家分享
    發(fā)表于 08-31 09:55

    請(qǐng)問把DDR的數(shù)據(jù)線的高8位分一組,低8位分一組,內(nèi)等長,這兩線的長度是不是要一樣長?

    如果我把DDR的數(shù)據(jù)線的高8位分一組,低8位分一組,內(nèi)等長,這兩線的長度是不是要
    發(fā)表于 06-14 05:35

    MM32 MiniBoard開發(fā)板的資料合集免費(fèi)下載

    MM32 MiniBoard是為了用戶快速上手、了解學(xué)習(xí)MM32系列MCU的塊入門級(jí)開發(fā)板;它提供豐富且靈活的外接排針以及常用的板載外設(shè),用戶在使用過程中可以通過Arduino接口
    發(fā)表于 07-29 08:00 ?21次下載
    <b class='flag-5'>MM32</b> MiniBoard開發(fā)板的資料合集免費(fèi)下載

    時(shí)控開關(guān)怎么設(shè)置兩天一組循環(huán)?

    目前時(shí)控開關(guān)無法實(shí)現(xiàn)兩天控制不同的開關(guān)時(shí)間一組,進(jìn)行循環(huán)。 時(shí)控開關(guān)最長可以控制168個(gè)小時(shí),也就是個(gè)禮拜,是按個(gè)星期進(jìn)行循環(huán)控制的
    發(fā)表于 09-24 11:53 ?2070次閱讀

    MM32 USB 功能學(xué)習(xí)筆記——WebDFU

    本節(jié)我們將講解如何在MM32 MCU實(shí)現(xiàn)WebDFU功能。
    發(fā)表于 02-09 09:34 ?1次下載
    <b class='flag-5'>MM32</b> USB 功能學(xué)習(xí)筆記——WebDFU

    MM32 USB 功能學(xué)習(xí)筆記——WebDFU

    前面我們介紹新出USB設(shè)備類型WebUSB,其中使用MM32 MCU實(shí)現(xiàn)WebUSB功能。既然可以通過網(wǎng)頁與USB設(shè)備通信,那是否可以做別的功能,比如USB-DFU,當(dāng)然是可以的,我們通過網(wǎng)頁進(jìn)行DFU功能,即WebDFU功能
    發(fā)表于 02-09 10:39 ?3次下載
    <b class='flag-5'>MM32</b> USB 功能學(xué)習(xí)筆記——WebDFU

    Project vlayoutListContainer提供一組布局

    Project vlayout 是 ListContainer 的個(gè)強(qiáng)大的 LayoutManager 擴(kuò)展,它為 ListContainer 提供一組布局。當(dāng)網(wǎng)格、列表和其他布局在同
    發(fā)表于 03-21 15:12 ?1次下載

    MindSDK中FlexCAN驅(qū)動(dòng)程序及工程

    32F5270和MM32F0140微控制器,其中就有FlexCAN外設(shè)模塊的驅(qū)動(dòng)程序以及工程,以及對(duì)CAN總線通信協(xié)議CANopen的適配工程
    的頭像 發(fā)表于 06-23 15:41 ?1103次閱讀
    <b class='flag-5'>MindSDK</b>中FlexCAN驅(qū)動(dòng)程序及<b class='flag-5'>樣</b><b class='flag-5'>例</b><b class='flag-5'>工程</b>

    MindSDK應(yīng)用基礎(chǔ)—TIM模塊

    MindSDKMM32使用星辰處理器內(nèi)核的系列微控制器,實(shí)現(xiàn)一組
    的頭像 發(fā)表于 06-29 17:16 ?791次閱讀

    MindSDK應(yīng)用基礎(chǔ)—SPI模塊簡介

    MindSDKMM32主流的微控制器,實(shí)現(xiàn)系列SPI驅(qū)動(dòng)的
    的頭像 發(fā)表于 08-10 17:14 ?1011次閱讀

    MindSDK應(yīng)用基礎(chǔ)—ADC模塊

    MindSDKMM32主流的微控制器,實(shí)現(xiàn)一組ADC
    的頭像 發(fā)表于 08-17 17:16 ?871次閱讀

    MindSDK應(yīng)用基礎(chǔ)——TIM模塊

    MindSDK應(yīng)用基礎(chǔ)——TIM模塊
    的頭像 發(fā)表于 10-25 16:25 ?505次閱讀