0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

介紹基本的sdc時(shí)序特例

冬至子 ? 來源:數(shù)字后端IC芯片設(shè)計(jì) ? 作者:Tao濤 ? 2023-07-03 15:34 ? 次閱讀

今天我們要介紹的基本sdc是 時(shí)序特例 ,也就是我們常說的Path exception。針對(duì)一些路徑需要的一些特殊設(shè)定,常用的有set_false_path, set_multicycle_path, set_max_delay,set_min_delay等

set_false_path : false path是指在正常工作環(huán)境下,并不真實(shí)存在或者經(jīng)過的路徑,比如說一些靜態(tài)輸入信號(hào)產(chǎn)生的path。像這樣的path,我們可以指定set_false_path。這樣工具在計(jì)算timing時(shí)就不會(huì)去分析它。值得注意的是,設(shè)定false path時(shí)一定要非常小心,一定要和designer仔細(xì)確認(rèn)下,不要把正常的path給誤傷掉。

例: set_false_path-from ff1/CK -through {u1/Y} -to ff2/D

set_multicycle_path : 指的是兩個(gè)寄存器之間數(shù)據(jù)要經(jīng)過多個(gè)時(shí)鐘才能穩(wěn)定的路徑,一般出現(xiàn)于組合邏輯較大的那些路徑,也有可能是一些慢時(shí)鐘去踩快時(shí)鐘的路徑。對(duì)于這些路徑,我們可以設(shè)置multicycle path。同樣的,設(shè)置multicycle path時(shí),也需要非常謹(jǐn)慎,需要和前端溝通好,該條path要設(shè)幾個(gè)cycle, -start還是-end也要理解清楚,而且默認(rèn)的hold的multicycle比setup少一個(gè)。

圖片

例:

set_multicycle_path 2 -setup -from ff1/CP -to ff2/D

set_multicycle_path 1 -hold -from ff1/CP -to ff2/D

set_max_delay: 指定某段path的最大延遲,超過就是violation。用于某些特定的path,限定該path只能走這么長delay.

例:set_max_delay 8.0 -from {ff1/CP} -to {ff2/D}

set_min_delay: 指定某段path的最小延遲,小于該值就是violation。用于某些特定的path,限定該path至少應(yīng)該走這么長delay.

例:set_min_delay 4.0 -from {ff1/CP} -to {ff2/D}

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5271

    瀏覽量

    119648
  • SDC
    SDC
    +關(guān)注

    關(guān)注

    0

    文章

    48

    瀏覽量

    15505
  • 時(shí)序分析器
    +關(guān)注

    關(guān)注

    0

    文章

    24

    瀏覽量

    5264
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    VIVADO時(shí)序約束及STA基礎(chǔ)

    時(shí)序約束的目的就是告訴工具當(dāng)前的時(shí)序狀態(tài),以讓工具盡量優(yōu)化時(shí)序并給出詳細(xì)的分析報(bào)告。一般在行為仿真后、綜合前即創(chuàng)建基本的時(shí)序約束。Vivado使用S
    的頭像 發(fā)表于 03-11 14:39 ?9585次閱讀

    時(shí)序分析的設(shè)計(jì)約束(SDC

    使用SDC命令create_clock創(chuàng)建時(shí)鐘,時(shí)鐘周期20,占空比50%的時(shí)鐘信號(hào);
    的頭像 發(fā)表于 11-08 09:12 ?7505次閱讀

    Sunlord SDC***C系列和SDC***Q系列有何差別?

    Sunlord SDC***C系列和SDC***Q系列有何差別?
    發(fā)表于 10-16 20:24

    時(shí)序約束 專版

    TimeQuest Timing Analyzer為各種各樣的時(shí)鐘配置和典型時(shí)鐘提供許多SDC命令。 這個(gè)章節(jié)將介紹SDC可用的應(yīng)用編程接口,以及描述指定的時(shí)鐘特性。 時(shí)鐘(Clocks
    發(fā)表于 05-16 18:51

    請問時(shí)序約束文件SDC支持哪些約束?

    時(shí)序約束文件SDC支持哪些約束?
    發(fā)表于 08-11 09:27

    詳細(xì)介紹下與時(shí)鐘相關(guān)的命令

    時(shí)序分析基本概念介紹——時(shí)鐘sdc。
    的頭像 發(fā)表于 01-27 09:18 ?6951次閱讀

    介紹系統(tǒng)接口sdc

    今天要介紹的基本sdc是系統(tǒng)接口sdc。主要包括set_drive, set_driving_cell, set_input_transition, set_load這四條命令,都是和端口上的驅(qū)動(dòng)負(fù)載有關(guān).
    的頭像 發(fā)表于 02-03 14:34 ?1w次閱讀
    <b class='flag-5'>介紹</b>系統(tǒng)接口<b class='flag-5'>sdc</b>

    時(shí)序分析基本概念之生成時(shí)鐘詳細(xì)資料介紹描述

    今天我們要介紹時(shí)序分析概念是generate clock。中文名為生成時(shí)鐘。generate clock定義在sdc中,是一個(gè)重要的時(shí)鐘概念。
    的頭像 發(fā)表于 09-24 08:12 ?8850次閱讀
    <b class='flag-5'>時(shí)序</b>分析基本概念之生成時(shí)鐘詳細(xì)資料<b class='flag-5'>介紹</b>描述

    分享幾個(gè)畫時(shí)序圖的軟件

    既可以用于系統(tǒng)級(jí)的設(shè)計(jì),用于時(shí)序分析和文檔編制,也用于ASIC/FPGA設(shè)計(jì)中,用于接口規(guī)范,以及創(chuàng)建SDC時(shí)序約束。
    的頭像 發(fā)表于 11-21 11:36 ?2778次閱讀

    時(shí)序分析的設(shè)計(jì)約束SDC怎么寫呢?

    使用SDC命令create_clock創(chuàng)建時(shí)鐘,時(shí)鐘周期20,占空比50%的時(shí)鐘信號(hào)
    的頭像 發(fā)表于 06-18 09:42 ?4060次閱讀
    <b class='flag-5'>時(shí)序</b>分析的設(shè)計(jì)約束<b class='flag-5'>SDC</b>怎么寫呢?

    SDC是如何煉成的?怎么去驗(yàn)收SDC呢?

    STA是由SDC驅(qū)動(dòng)的,所以SDC的完整性、正確性和一致性直接決定著綜合、布局布線以及STA的有效性。
    的頭像 發(fā)表于 06-28 17:17 ?3513次閱讀
    <b class='flag-5'>SDC</b>是如何煉成的?怎么去驗(yàn)收<b class='flag-5'>SDC</b>呢?

    時(shí)序分析基本概念—SDC概述

    今天我們要介紹時(shí)序概念是設(shè)計(jì)約束文件 **SDC** . 全稱 ***Synopsys design constraints*** . SDC是一個(gè)設(shè)計(jì)中至關(guān)重要的一個(gè)文件。
    的頭像 發(fā)表于 07-03 14:51 ?5857次閱讀
    <b class='flag-5'>時(shí)序</b>分析基本概念—<b class='flag-5'>SDC</b>概述

    時(shí)序分析基本概念介紹&lt;sdc檢查&gt;

    sdc是整個(gè)設(shè)計(jì)中最重要的文件,它的正確與否直接決定了PR能否順利進(jìn)行以及timing的最終sign off。
    的頭像 發(fā)表于 07-05 10:32 ?2435次閱讀
    <b class='flag-5'>時(shí)序</b>分析基本概念<b class='flag-5'>介紹</b>&lt;<b class='flag-5'>sdc</b>檢查&gt;

    時(shí)序分析基本概念介紹—時(shí)鐘sdc

    雖然sdc大大小小有上百條命令,但實(shí)際常用的其實(shí)就那么10幾條。今天我們來介紹下與時(shí)鐘相關(guān)的命令。
    的頭像 發(fā)表于 07-05 10:57 ?1720次閱讀
    <b class='flag-5'>時(shí)序</b>分析基本概念<b class='flag-5'>介紹</b>—時(shí)鐘<b class='flag-5'>sdc</b>

    時(shí)序分析基本概念介紹&lt;generate clock&gt;

    今天我們要介紹時(shí)序分析概念是generate clock。中文名為生成時(shí)鐘。generate clock定義在sdc中,是一個(gè)重要的時(shí)鐘概念。
    的頭像 發(fā)表于 07-06 10:34 ?2062次閱讀
    <b class='flag-5'>時(shí)序</b>分析基本概念<b class='flag-5'>介紹</b>&lt;generate clock&gt;