0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

芯片設(shè)計(jì)全流程概述

智能計(jì)算芯世界 ? 來(lái)源:大同學(xué)吧 - 蛙哥 ? 2023-07-09 10:20 ? 次閱讀

芯片設(shè)計(jì)分為前端設(shè)計(jì)和后端設(shè)計(jì),前端設(shè)計(jì)(也稱邏輯設(shè)計(jì))和后端設(shè)計(jì)(也稱物理設(shè)計(jì))并沒(méi)有統(tǒng)一嚴(yán)格的界限,涉及到與工藝有關(guān)的設(shè)計(jì)就是后端設(shè)計(jì)。

1、機(jī)器人行業(yè)報(bào)告:人形機(jī)器人產(chǎn)業(yè)分析,尋找供應(yīng)鏈隱形冠軍2、AI驅(qū)動(dòng)虛擬人產(chǎn)業(yè)升級(jí),應(yīng)用場(chǎng)景進(jìn)一步擴(kuò)展3、AI賦能人形機(jī)器人產(chǎn)業(yè)提升,把握產(chǎn)業(yè)鏈?zhǔn)芤鏅C(jī)會(huì)4、扣緊產(chǎn)業(yè)鏈安全,機(jī)器人滾動(dòng)功能部件國(guó)產(chǎn)化勢(shì)在必行

《計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)合集》

1、計(jì)算機(jī)系統(tǒng)結(jié)構(gòu):概述2、計(jì)算機(jī)系統(tǒng)結(jié)構(gòu):基本概念3、計(jì)算機(jī)系統(tǒng)結(jié)構(gòu):指令系統(tǒng)4、計(jì)算機(jī)系統(tǒng)結(jié)構(gòu):存儲(chǔ)系統(tǒng)5、計(jì)算機(jī)系統(tǒng)結(jié)構(gòu):IO系統(tǒng)6、計(jì)算機(jī)系統(tǒng)結(jié)構(gòu):標(biāo)量處理機(jī)7、計(jì)算機(jī)系統(tǒng)結(jié)構(gòu):向量處理機(jī)

“九州”算力光網(wǎng)目標(biāo)架構(gòu)白皮書

6e3390c6-1df4-11ee-962d-dac502259ad0.png

1、規(guī)格制定

芯片規(guī)格,也就像功能列表一樣,是客戶向芯片設(shè)計(jì)公司(稱為Fabless,無(wú)晶圓設(shè)計(jì)公司)提出的設(shè)計(jì)要求,包括芯片需要達(dá)到的具體功能和性能方面的要求。

2、詳細(xì)設(shè)計(jì)

Fabless根據(jù)客戶提出的規(guī)格要求,拿出設(shè)計(jì)解決方案和具體實(shí)現(xiàn)架構(gòu),劃分模塊功能。

3、HDL編碼

使用硬件描述語(yǔ)言(VHDL,Verilog HDL,業(yè)界公司一般都是使用后者)將模塊功能以代碼來(lái)描述實(shí)現(xiàn),也就是將實(shí)際的硬件電路功能通過(guò)HDL語(yǔ)言描述出來(lái),形成RTL(寄存器傳輸級(jí))代碼。

4、仿真驗(yàn)證

仿真驗(yàn)證就是檢驗(yàn)編碼設(shè)計(jì)的正確性,檢驗(yàn)的標(biāo)準(zhǔn)就是第一步制定的規(guī)格??丛O(shè)計(jì)是否精確地滿足了規(guī)格中的所有要求 。規(guī)格是設(shè)計(jì)正確與否的黃金標(biāo)準(zhǔn),一切違反,不符合規(guī)格要求的,就需要重新修改設(shè)計(jì)和編碼。設(shè)計(jì)和仿真驗(yàn)證是反復(fù)迭代的過(guò)程,直到驗(yàn)證結(jié)果顯示完全符合規(guī)格標(biāo)準(zhǔn)。

5、邏輯綜合――Design Compiler

仿真驗(yàn)證通過(guò),進(jìn)行邏輯綜合。邏輯綜合的結(jié)果就是把設(shè)計(jì)實(shí)現(xiàn)的HDL代碼翻譯成門級(jí)網(wǎng)表netlist。綜合需要設(shè)定約束條件,就是你希望綜合出來(lái)的電路在面積,時(shí)序等目標(biāo)參數(shù)上達(dá)到的標(biāo)準(zhǔn)。 邏輯綜合需要基于特定的綜合庫(kù),不同的庫(kù)中,門電路基本標(biāo)準(zhǔn)單元(standard cell)的面積,時(shí)序參數(shù)是不一樣的。所以,選用的綜合庫(kù)不一樣,綜合出來(lái)的電路在時(shí)序,面積上是有差異的。一般來(lái)說(shuō),綜合完成后需要再次做仿真驗(yàn)證(這個(gè)也稱為后仿真,之前的稱為前仿真)。

邏輯綜合工具Synopsys的Design Compiler。

6、STA

Static Timing Analysis(STA),靜態(tài)時(shí)序分析,這也屬于驗(yàn)證范疇,它主要是 在時(shí)序上對(duì)電路進(jìn)行驗(yàn)證,檢查電路是否存在建立時(shí)間(setup time)和保持時(shí)間(hold time)的違例(violation)。這個(gè)是數(shù)字電路基礎(chǔ)知識(shí),一個(gè)寄存器出現(xiàn)這兩個(gè)時(shí)序違例時(shí),是沒(méi)有辦法正確采樣數(shù)據(jù)和輸出數(shù)據(jù)的,所以以寄存器為基礎(chǔ)的數(shù)字芯片功能肯定會(huì)出現(xiàn)問(wèn)題。

STA工具有Synopsys的Prime Time。

7、形式驗(yàn)證

這也是驗(yàn)證范疇,它是從功能上(STA是時(shí)序上)對(duì)綜合后的網(wǎng)表進(jìn)行驗(yàn)證。 常用的就是等價(jià)性檢查方法,以功能驗(yàn)證后的HDL設(shè)計(jì)為參考,對(duì)比綜合后的網(wǎng)表功能,他們是否在功能上存在等價(jià)性。這樣做是為了保證在邏輯綜合過(guò)程中沒(méi)有改變?cè)菻DL描述的電路功能。

形式驗(yàn)證工具有Synopsys的Formality。

從設(shè)計(jì)程度上來(lái)講,前端設(shè)計(jì)的結(jié)果就是得到了芯片的門級(jí)網(wǎng)表電路。

Backend design flow :

1、DFT

Design For Test,可測(cè)性設(shè)計(jì)。芯片內(nèi)部往往都自帶測(cè)試電路,DFT的目的就是在設(shè)計(jì)的時(shí)候就考慮將來(lái)的測(cè)試。DFT的常見(jiàn)方法就是,在設(shè)計(jì)中插入掃描鏈,將非掃描單元(如寄存器)變?yōu)閽呙鑶卧jP(guān)于DFT,有些書上有詳細(xì)介紹,對(duì)照?qǐng)D片就好理解一點(diǎn)。

DFT工具Synopsys的DFT Compiler

2、布局規(guī)劃(FloorPlan)

布局規(guī)劃就是 放置芯片的宏單元模塊,在總體上確定各種功能電路的擺放位置,如IP模塊,RAM,I/O引腳等等。布局規(guī)劃能直接影響芯片最終的面積。

工具為Synopsys的Astro

3、CTS

Clock Tree Synthesis, 時(shí)鐘樹(shù)綜合,簡(jiǎn)單點(diǎn)說(shuō)就是時(shí)鐘的布線。 由于時(shí)鐘信號(hào)在數(shù)字芯片的全局指揮作用,它的分布應(yīng)該是對(duì)稱式的連到各個(gè)寄存器單元,從而使時(shí)鐘從同一個(gè)時(shí)鐘源到達(dá)各個(gè)寄存器時(shí),時(shí)鐘延遲差異最小。這也是為什么時(shí)鐘信號(hào)需要單獨(dú)布線的原因。

CTS工具有Synopsys的Physical Compiler。

4、布線(Place & Route)

這里的布線就是 普通信號(hào)布線了,包括各種標(biāo)準(zhǔn)單元(基本邏輯門電路)之間的走線。比如我們平常聽(tīng)到的0.13um工藝,或者說(shuō)90nm工藝,實(shí)際上就是這里金屬布線可以達(dá)到的最小寬度,從微觀上看就是MOS管的溝道長(zhǎng)度。

工具有Synopsys的Astro。

5、寄生參數(shù)提取

由于導(dǎo)線本身存在的電阻,相鄰導(dǎo)線之間的互感,耦合電容在芯片內(nèi)部會(huì)產(chǎn)生信號(hào)噪聲,串?dāng)_和反射。這些效應(yīng)會(huì)產(chǎn)生信號(hào)完整性問(wèn)題,導(dǎo)致信號(hào)電壓波動(dòng)和變化,如果嚴(yán)重就會(huì)導(dǎo)致信號(hào)失真錯(cuò)誤。 提取寄生參數(shù)進(jìn)行再次的分析驗(yàn)證,分析信號(hào)完整性問(wèn)題是非常重要的。

工具Synopsys的Star-RCXT。

6、版圖物理驗(yàn)證

對(duì)完成布線的物理版圖進(jìn)行功能和時(shí)序上的驗(yàn)證,驗(yàn)證項(xiàng)目很多,如LVS(Layout Vs Schematic)驗(yàn)證,簡(jiǎn)單說(shuō),就是版圖與邏輯綜合后的門級(jí)電路圖的對(duì)比驗(yàn)證;DRC(Design Rule Checking):設(shè)計(jì)規(guī)則檢查,檢查連線間距,連線寬度等是否滿足工藝要求, ERC(Electrical Rule Checking):電氣規(guī)則檢查,檢查短路和開(kāi)路等電氣 規(guī)則違例;等等。

工具為Synopsys的Hercules。

實(shí)際的后端流程還包括電路功耗分析,以及隨著制造工藝不斷進(jìn)步產(chǎn)生的DFM可制造性設(shè)計(jì))問(wèn)題,在此不贅述了。

物理版圖驗(yàn)證完成也就是整個(gè)芯片設(shè)計(jì)階段完成,下面的就是芯片制造了。物理版圖以GDS II的文件格式交給芯片代工廠(稱為Foundry)在晶圓硅片上做出實(shí)際的電路,再進(jìn)行封裝和測(cè)試,就得到了我們實(shí)際看見(jiàn)的芯片。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 機(jī)器人
    +關(guān)注

    關(guān)注

    210

    文章

    28003

    瀏覽量

    205561
  • 芯片設(shè)計(jì)
    +關(guān)注

    關(guān)注

    15

    文章

    986

    瀏覽量

    54708
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    4003

    瀏覽量

    133234
  • HDL
    HDL
    +關(guān)注

    關(guān)注

    8

    文章

    325

    瀏覽量

    47285

原文標(biāo)題:芯片設(shè)計(jì)全流程概述

文章出處:【微信號(hào):AI_Architect,微信公眾號(hào):智能計(jì)算芯世界】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    芯片設(shè)計(jì)流程概述

    來(lái)源:大同學(xué)吧-蛙哥芯片設(shè)計(jì)分為前端設(shè)計(jì)和后端設(shè)計(jì),前端設(shè)計(jì)(也稱邏輯設(shè)計(jì))和后端設(shè)計(jì)(也稱物理設(shè)計(jì))并沒(méi)有統(tǒng)一嚴(yán)格的界限,涉及到與工藝有關(guān)的設(shè)計(jì)就是后端設(shè)計(jì)。1、規(guī)格制定芯片規(guī)格,也就像功能列表
    的頭像 發(fā)表于 07-31 18:01 ?2994次閱讀
    <b class='flag-5'>芯片</b>設(shè)計(jì)<b class='flag-5'>全</b><b class='flag-5'>流程</b><b class='flag-5'>概述</b>

    EDA流程的重要意義,以及國(guó)內(nèi)EDA流程進(jìn)展

    的方式。如果一款工具能夠覆蓋特定芯片在上述流程中的設(shè)計(jì)任務(wù),那么我們就將其稱之為流程EDA工具,或者是
    的頭像 發(fā)表于 12-14 00:08 ?2139次閱讀

    FPGA設(shè)計(jì)流程

    FPGA設(shè)計(jì)流程
    發(fā)表于 08-20 15:26

    芯片制造工藝流程解析

    芯片制造工藝流程詳情
    發(fā)表于 12-28 06:20

    配網(wǎng)流程概述

    配網(wǎng)流程概述配網(wǎng)協(xié)議配網(wǎng)承載層(Provisioning Bearer)配網(wǎng)協(xié)議(Provisioning Protocol)流程詳解發(fā)送Beacon信號(hào)邀請(qǐng)交換公共密鑰認(rèn)證輸出帶外(Output
    發(fā)表于 07-22 08:53

    四路HDMI電路PCB流程設(shè)計(jì)

    四路HDMI電路PCB流程設(shè)計(jì)說(shuō)明。
    發(fā)表于 03-23 10:10 ?0次下載

    芯片制造流程及詳解

    我們身邊大大小小的電子設(shè)備中都會(huì)有芯片,芯片讓生活步入了更加智慧的模式。那么芯片那么神奇的東西是怎么制造的呢?下面小編就帶大家看看芯片制造
    的頭像 發(fā)表于 12-10 18:15 ?1.7w次閱讀

    芯片的制造流程

    流程: ? ? ? ?首先是芯片設(shè)計(jì),根據(jù)設(shè)計(jì)的需求,生成的“圖樣”。 制作晶圓。使用晶圓切片機(jī)將硅晶棒切割出所需厚度的晶圓。 晶圓涂膜。在晶圓表面涂上光阻薄膜,該薄膜能提升晶圓的抗氧化以及耐溫能力。 晶圓光刻顯影、蝕刻
    的頭像 發(fā)表于 12-22 11:29 ?1.2w次閱讀

    芯片的制造流程

    流程: ? ? ? ?首先是芯片設(shè)計(jì),根據(jù)設(shè)計(jì)的需求,生成的“圖樣”。 制作晶圓。使用晶圓切片機(jī)將硅晶棒切割出所需厚度的晶圓。 晶圓涂膜。在晶圓表面涂上光阻薄膜,該薄膜能提升晶圓的抗氧化以及耐溫能力。 晶圓光刻顯影、蝕刻
    的頭像 發(fā)表于 01-05 11:03 ?2.4w次閱讀

    Android智能硬件定義與產(chǎn)品開(kāi)發(fā)流程概述

    通過(guò)總結(jié)大大小小多個(gè)Andriod智能硬件開(kāi)發(fā)項(xiàng)目,記錄了智能硬件產(chǎn)品開(kāi)發(fā)的流程、智能硬件開(kāi)發(fā)所涉及的技術(shù)體系概述的心得,并附上在主板選型、串口通信、屏幕顯示、常用外接設(shè)備上一些品類的特點(diǎn)和差異,分析了我的開(kāi)發(fā)
    的頭像 發(fā)表于 07-03 16:21 ?2531次閱讀

    芯片設(shè)計(jì)流程概述

    點(diǎn)擊上方 藍(lán)字 關(guān)注我們 芯片設(shè)計(jì)流程概述 芯片設(shè)計(jì)分為前端設(shè)計(jì)和后端設(shè)計(jì),前端設(shè)計(jì)(也稱邏輯設(shè)計(jì))和后端設(shè)計(jì)(也稱物理設(shè)計(jì))并沒(méi)有統(tǒng)一嚴(yán)格的界限,涉及到與工藝有關(guān)的設(shè)計(jì)就是后端設(shè)計(jì)。
    的頭像 發(fā)表于 05-22 19:30 ?627次閱讀

    科普:芯片設(shè)計(jì)流程

    芯片設(shè)計(jì)過(guò)程是一項(xiàng)復(fù)雜的多步驟工作,涉及從初始系統(tǒng)規(guī)格到制造的各個(gè)階段。每一步對(duì)于實(shí)現(xiàn)生產(chǎn)完全可用芯片的目標(biāo)都至關(guān)重要。本文概述芯片設(shè)計(jì)流程
    的頭像 發(fā)表于 06-06 10:48 ?2618次閱讀
    科普:<b class='flag-5'>芯片</b>設(shè)計(jì)<b class='flag-5'>流程</b>

    建議收藏:芯片設(shè)計(jì)流程概述

    來(lái)源:大同學(xué)吧 -?蛙哥 芯片設(shè)計(jì)分為前端設(shè)計(jì)和后端設(shè)計(jì),前端設(shè)計(jì)(也稱邏輯設(shè)計(jì))和后端設(shè)計(jì)(也稱物理設(shè)計(jì))并沒(méi)有統(tǒng)一嚴(yán)格的界限,涉及到與工藝有關(guān)的設(shè)計(jì)就是后端設(shè)計(jì)。 1、規(guī)格制定 芯片規(guī)格,也就
    的頭像 發(fā)表于 06-15 08:40 ?1612次閱讀
    建議收藏:<b class='flag-5'>芯片</b>設(shè)計(jì)<b class='flag-5'>全</b><b class='flag-5'>流程</b><b class='flag-5'>概述</b>

    Vivado設(shè)計(jì)套件用戶指南(設(shè)計(jì)流程概述)

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計(jì)套件用戶指南(設(shè)計(jì)流程概述).pdf》資料免費(fèi)下載
    發(fā)表于 09-15 09:55 ?1次下載
    Vivado設(shè)計(jì)套件用戶指南(設(shè)計(jì)<b class='flag-5'>流程</b><b class='flag-5'>概述</b>)

    語(yǔ)音芯片燒錄流程概述

    語(yǔ)音芯片的燒錄是將特定的固件或軟件加載到芯片中,以使其能夠執(zhí)行特定的語(yǔ)音處理功能。以下是一般的語(yǔ)音芯片燒錄過(guò)程:具體的燒錄過(guò)程可能因芯片型號(hào)、廠商和燒錄工具而異,上述步驟僅為一般
    的頭像 發(fā)表于 10-19 11:19 ?1543次閱讀