0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

什么是同步有限狀態(tài)機?為什么要用狀態(tài)機?怎么表示狀態(tài)機?

冬至子 ? 來源:摩爾吧 ? 作者:摩爾吧 ? 2023-07-17 15:00 ? 次閱讀

什么是同步有限狀態(tài)機?

同步:所有的狀態(tài)跳轉(zhuǎn)都是在時鐘的作用下進行

有限:狀態(tài)的個數(shù)是有限的

圖片

為什么要用狀態(tài)機?

FPGA是并行處理的,如果我們想要處理具有前后順序的事件時,就需要引入狀態(tài)機。狀態(tài)機的每一個狀態(tài)代表一個事件,從執(zhí)行當前事件到執(zhí)行另一事件我們稱之為狀態(tài)的跳轉(zhuǎn)或狀態(tài)的轉(zhuǎn)移。

狀態(tài)機特別適合描述那些發(fā)生有先后順序或時序規(guī)律的事情。小到計數(shù)器大到微處理器都適合用狀態(tài)機描述。

怎么表示狀態(tài)機?

圖片

圖片

狀態(tài)機需要具備什么?

  • 輸入:根據(jù)輸入確定是否需要進行狀態(tài)跳轉(zhuǎn)
  • 輸出:當前時刻狀態(tài)要做的事情是什么
  • 狀態(tài):要處理的事情

狀態(tài)機的設(shè)計步驟是怎么樣的?

  • 首先分析輸入、輸出有哪些,需要多少個狀態(tài)
  • 其次根據(jù)分析繪制狀態(tài)轉(zhuǎn)移圖
  • 設(shè)計實現(xiàn)的模塊
  • 狀態(tài)機代碼的編寫

FIFO的使用

什么是FIFO?

FIFO(First In First Out)即先入先出,是一種數(shù)據(jù)緩沖器,用來實現(xiàn)數(shù)據(jù)先入先出的讀/寫方式。FIFO有一個寫端口和一個讀端口外部無需使用者控制地址,使用方便。

根據(jù)FIFO工作的時鐘域可以將FIFO分為同步FIFO和異步FIFO。同步FIFO是指讀時鐘和寫時鐘為同一個時鐘。異步FIFO是指讀/寫時鐘不一致,是相互獨立的。

FIFO的作用是什么?

  • 用于緩存數(shù)據(jù)
  • 用于做多bit數(shù)據(jù)的跨時鐘域處理
  • 用于做時鐘、位寬不匹配問題的接口

如何使用FIFO?

  • 在一定時間內(nèi),寫數(shù)據(jù)的總帶寬一定要小于等于讀數(shù)據(jù)的總帶寬
  • 控制好FIFO的關(guān)鍵信號,讀寫時鐘、讀寫使能、空滿標志信號
  • FIFO一定不能寫滿、也不能讀空,要考慮FIFO的背靠背問題,設(shè)置好存儲深度

FPGA設(shè)計中的技巧

一、使能信號(en)、標志信號(flag)、計數(shù)器(cnt)

二、模塊框圖設(shè)計——方案的確定

三、波形設(shè)計——代碼的實現(xiàn)

四、代碼規(guī)范——可復(fù)用性和效率

五、仿真調(diào)試——修改查錯

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA設(shè)計
    +關(guān)注

    關(guān)注

    9

    文章

    428

    瀏覽量

    26452
  • 有限狀態(tài)機
    +關(guān)注

    關(guān)注

    0

    文章

    52

    瀏覽量

    10307
  • 狀態(tài)機
    +關(guān)注

    關(guān)注

    2

    文章

    490

    瀏覽量

    27438
  • FIFO存儲
    +關(guān)注

    關(guān)注

    0

    文章

    103

    瀏覽量

    5953
  • 數(shù)據(jù)緩沖器

    關(guān)注

    1

    文章

    5

    瀏覽量

    1834
收藏 人收藏

    評論

    相關(guān)推薦

    SaberRD狀態(tài)機建模工具介紹(一)什么是狀態(tài)機建模

    狀態(tài)機建模是使用狀態(tài)圖和方程式的手段,創(chuàng)建基于混合信號的有限狀態(tài)機模型的一種建模工具。
    的頭像 發(fā)表于 12-05 09:51 ?1454次閱讀
    SaberRD<b class='flag-5'>狀態(tài)機</b>建模工具介紹(一)什么是<b class='flag-5'>狀態(tài)機</b>建模

    Verilog狀態(tài)機+設(shè)計實例

    的是有限狀態(tài)機(Finite-State Machine,F(xiàn)SM),簡稱為狀態(tài)機表示有限狀態(tài)以及這些
    的頭像 發(fā)表于 02-12 19:07 ?3477次閱讀
    Verilog<b class='flag-5'>狀態(tài)機</b>+設(shè)計實例

    有限狀態(tài)機有什么類型?

    在實際的應(yīng)用中,根據(jù)有限狀態(tài)機是否使用輸入信號,設(shè)計人員經(jīng)常將其分為Moore型有限狀態(tài)機和Mealy型有限狀態(tài)機兩種類型。
    發(fā)表于 04-06 09:00

    什么是有限狀態(tài)機

    在嵌入式,機器人領(lǐng)域,由于多的復(fù)雜邏輯狀態(tài),我們編寫程序的時候不得不考慮很多種情況,容易造成功能間的沖突。有限狀態(tài)機(finite-state machine),簡稱狀態(tài)機,是一種表示
    發(fā)表于 12-20 06:51

    有限狀態(tài)機_FSM_的實現(xiàn)

    本文主要介紹了IP模塊的有限狀態(tài)機的實現(xiàn)。
    發(fā)表于 03-22 15:42 ?0次下載

    有限狀態(tài)機的建模與優(yōu)化設(shè)計

    本文提出一種優(yōu)秀 、高效的 Verilog HDL 描述方式來進行有限狀態(tài)機設(shè)計 介紹了 有限狀態(tài)機的建模原則 并通過一個可綜合的實例 驗證了 該方法設(shè)計的有限狀態(tài)機在面積和功耗上的優(yōu)勢。
    發(fā)表于 03-22 15:19 ?1次下載

    VHDL有限狀態(tài)機設(shè)計-ST

    EDA的有限狀態(tài)機,廣義而言是指只要涉及觸發(fā)器的電路,無論電路大小都可以歸結(jié)為狀態(tài)機。有限狀態(tài)機設(shè)計在學習EDA時是很重要的一章。
    發(fā)表于 06-08 16:46 ?3次下載

    初學者對有限狀態(tài)機(FSM)的設(shè)計的認識

    有限狀態(tài)機(FSM)是一種常見的電路,由時序電路和組合電路組成。設(shè)計有限狀態(tài)機的第一步是確定采用Moore狀態(tài)機還是采用Mealy狀態(tài)機。
    發(fā)表于 02-11 13:51 ?4220次閱讀
    初學者對<b class='flag-5'>有限狀態(tài)機</b>(FSM)的設(shè)計的認識

    如何使用FPGA實現(xiàn)序列檢測有限狀態(tài)機

    有限狀態(tài)機是絕大部分控制電路的核心結(jié)構(gòu), 是表示有限狀態(tài)以及在這些狀態(tài)之間轉(zhuǎn)移和動作等行為的數(shù)學模型。
    發(fā)表于 11-04 17:17 ?12次下載
    如何使用FPGA實現(xiàn)序列檢測<b class='flag-5'>有限狀態(tài)機</b>

    基于事件驅(qū)動的有限狀態(tài)機介紹

    ? 一、介紹 EFSM(event finite state machine,事件驅(qū)動型有限狀態(tài)機),是一個基于事件驅(qū)動的有限狀態(tài)機,主要應(yīng)用于嵌入式設(shè)備的軟件系統(tǒng)中。 EFSM的設(shè)計原則是:簡單
    的頭像 發(fā)表于 11-16 15:29 ?2276次閱讀

    如何以面向?qū)ο蟮乃枷朐O(shè)計有限狀態(tài)機

    有限狀態(tài)機又稱有限狀態(tài)自動機,簡稱狀態(tài)機,是表示有限狀態(tài)
    發(fā)表于 02-07 11:23 ?4次下載
    如何以面向?qū)ο蟮乃枷朐O(shè)計<b class='flag-5'>有限狀態(tài)機</b>

    基于事件驅(qū)動的有限狀態(tài)機介紹

    EFSM(event finite state machine,事件驅(qū)動型有限狀態(tài)機),是一個基于事件驅(qū)動的有限狀態(tài)機,主要應(yīng)用于嵌入式設(shè)備的軟件系統(tǒng)中。
    的頭像 發(fā)表于 02-11 10:17 ?990次閱讀

    Verilog狀態(tài)機的類型

    有限狀態(tài)機(Finite-State Machine,F(xiàn)SM),簡稱狀態(tài)機,是表示有限狀態(tài)以及在這些
    的頭像 發(fā)表于 06-01 15:23 ?1728次閱讀
    Verilog<b class='flag-5'>狀態(tài)機</b>的類型

    有限狀態(tài)機分割設(shè)計

    有限狀態(tài)機分割設(shè)計,其實質(zhì)就是一個狀態(tài)機分割成多個狀態(tài)機
    的頭像 發(fā)表于 10-09 10:47 ?574次閱讀

    什么是狀態(tài)機?狀態(tài)機的種類與實現(xiàn)

    狀態(tài)機,又稱有限狀態(tài)機(Finite State Machine,F(xiàn)SM)或米利狀態(tài)機(Mealy Machine),是一種描述系統(tǒng)狀態(tài)變化的模型。在芯片設(shè)計中,
    的頭像 發(fā)表于 10-19 10:27 ?8626次閱讀