0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

DFT中的Scan Chain掃描鏈測(cè)試過程

冬至子 ? 來源:簡(jiǎn)矽芯學(xué)堂 ? 作者:簡(jiǎn)矽芯學(xué)堂 ? 2023-09-15 11:29 ? 次閱讀

隨著現(xiàn)代集成電路工藝的發(fā)展,芯片制成越來越來精密,出現(xiàn)缺陷的可能性也越來越高,有時(shí)候一個(gè)微不足道的影響就可能導(dǎo)致芯片報(bào)廢,為了能有效的檢測(cè)出生產(chǎn)中出現(xiàn)的廢片,就需要用到掃描鏈測(cè)試(scan chain),DFT可測(cè)試性設(shè)計(jì)中的掃描鏈測(cè)試發(fā)展至今,已經(jīng)有了成熟的設(shè)計(jì)和制造流程。本文就對(duì)掃描鏈測(cè)試過程做簡(jiǎn)單介紹。

掃描鏈測(cè)試原理

scan chain的基本原理是將設(shè)計(jì)中所有觸發(fā)器連成一條鏈,用統(tǒng)一的scan clk驅(qū)動(dòng),這樣可以將預(yù)先設(shè)計(jì)好的scan pattern送入芯片中,然后開啟capture使能,這樣每個(gè)觸發(fā)器Q端輸出傳入它們所驅(qū)動(dòng)的組合電路,scan chain中的下一級(jí)觸發(fā)器D端就會(huì)捕獲這個(gè)組合電路的輸出,然后capture失效,這組向量與工具預(yù)先計(jì)算好的預(yù)期相比較,以此為依據(jù)來判斷芯片中是否有制造錯(cuò)誤

下圖即為scan前和scan后的DFF,這是一個(gè)簡(jiǎn)單的示意圖,含有三個(gè)掃描寄存器。其實(shí)就是替換成帶有scan邏輯的DFF,當(dāng)scan_en有效時(shí),scan邏輯就會(huì)從scan-in穿過DFF到達(dá)scan-out,多個(gè)scan DFF鏈接在一起就成了scan chain,鏈上有多少個(gè)scan DFF表示這條鏈的長(zhǎng)度。

圖片

作為結(jié)構(gòu)性測(cè)試(structural test)的主要手段,DFT工程師需要注意的是電路的可測(cè)性,也就是可觀測(cè)點(diǎn)和可控制點(diǎn)。在運(yùn)用scan 測(cè)試方法的時(shí)候,整個(gè)芯片被看做是大量的寄存器和寄存器直接連接的組合邏輯。這也就是scan test開發(fā)的基本原理。

為了偵測(cè)生產(chǎn)過程中的制造缺陷,常用的方法并不是對(duì)芯片功能進(jìn)行測(cè)試,而是從元器件最基本的電路反應(yīng)入手,測(cè)試其中的異常,從而偵測(cè)到制造缺陷。當(dāng)然上述是scan chain的基本思想,scan的具體過程在電路中的應(yīng)用也是相對(duì)復(fù)雜的,因其橫跨了芯片設(shè)計(jì)的整個(gè)周期,各個(gè)角落,在設(shè)計(jì)scan test的時(shí)候需要綜合考慮到芯片設(shè)計(jì)的方方面面,包括時(shí)鐘設(shè)計(jì),電源設(shè)計(jì),芯片結(jié)構(gòu),PAD資源,邏輯綜合規(guī)劃等。

DFT中的Scan Chain Flow

Scan的工作流程大概分為以下過程:

1、首先是scan insertion(掃描鏈的插入),在芯片功能設(shè)計(jì)完成后,即為將整個(gè)網(wǎng)表由一堆普通寄存器替換為掃描寄存器的過程,這樣新加入的寄存器和原有寄存器一同構(gòu)成scan chain并參與對(duì)芯片的測(cè)試;

2、接下來是Test Pattern Generation(測(cè)試向量生成過程),測(cè)試向量的產(chǎn)生是基于ATPG算法與故障模型以及電路結(jié)構(gòu)生成的,依靠掃描鏈的插入結(jié)構(gòu)生成測(cè)試向量,得到測(cè)試向量后;

3、即對(duì)電路進(jìn)行門級(jí)仿真,類似于驗(yàn)證芯片功能,當(dāng)然最后測(cè)試向量需要在ATE機(jī)臺(tái)上針對(duì)有限的芯片輸入輸出端口進(jìn)行測(cè)試,盡管上述流程描述相對(duì)簡(jiǎn)單,但如前文所言在實(shí)際應(yīng)用中要考慮對(duì)芯片主線的影響,功耗,面積開銷等問題,這使得該過程變得相對(duì)復(fù)雜,所以在DFT的工作中,需要對(duì)各個(gè)因素全面考慮,做到覆蓋率高,功耗低。

Scan Reorder

在做完Coarse Placement后,Scan Cell大部分是按照連接的順序隨機(jī)的亂放的。這樣其實(shí)會(huì)極大地占用繞線資源,因此,在后續(xù)步驟開始之前,我們需要對(duì)掃描鏈的布局布線進(jìn)行處理,在不影響邏輯功能的前提下,重新進(jìn)行連接,從而減少走線長(zhǎng)度。那這個(gè)重組的過程,我們就稱之為掃描鏈重組(Scan Reorder),這個(gè)過程可以用下面兩張圖來形象地說明:

圖片

圖片

在scan插入后會(huì)生成后綴為.def文件,后端工程師通過獲取.def文件對(duì)scan chain進(jìn)行Reorder

Scan Reorder之前可以看到每個(gè)scan cell的連接是繁瑣雜亂的,被稱為detour,這就需要scan Reorder,在不影響功能邏輯的前提下整理布局布線,得到清晰合理的scan cell連接。

總結(jié)

大多數(shù)測(cè)試生成方案都會(huì)將一個(gè)被測(cè)電路視為一個(gè)黑盒子,而對(duì)測(cè)試機(jī)而言,唯一可利用控制端的就是主要輸入端,唯一可用的觀測(cè)點(diǎn)就是主要輸出端,因此這就限制了電路的可控性和可觀測(cè)性,掃描鏈的機(jī)制很好解決這一問題,隨著該技術(shù)的發(fā)展,測(cè)試生成算法,以及其他測(cè)試方案也會(huì)隨著改進(jìn)和發(fā)展。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 電源設(shè)計(jì)
    +關(guān)注

    關(guān)注

    30

    文章

    1525

    瀏覽量

    66198
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5271

    瀏覽量

    119647
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1992

    瀏覽量

    60977
  • DFT算法
    +關(guān)注

    關(guān)注

    0

    文章

    27

    瀏覽量

    7519
  • ATPG
    +關(guān)注

    關(guān)注

    1

    文章

    6

    瀏覽量

    7546
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    scan測(cè)試的基本原理 scan測(cè)試的基本過程

    如下圖所示:左邊是普通寄存器,右邊是可掃描寄存器,D端前面加一個(gè)二選一的MUX,選擇端是scan_enable,為1時(shí)選擇SI端,為0時(shí)選擇D端。
    的頭像 發(fā)表于 09-15 10:10 ?4661次閱讀
    <b class='flag-5'>scan</b><b class='flag-5'>測(cè)試</b>的基本原理 <b class='flag-5'>scan</b><b class='flag-5'>測(cè)試</b>的基本<b class='flag-5'>過程</b>

    DFT和BIST在SoC設(shè)計(jì)的應(yīng)用

    雖然可測(cè)性設(shè)計(jì)(DFT)與內(nèi)置自檢(BIST)技術(shù)已在SoC(系統(tǒng)級(jí)芯片)設(shè)計(jì)受到廣泛關(guān)注,但仍然只是被看作“后端”的事。實(shí)際上,這些技術(shù)在器件整個(gè)設(shè)計(jì)周期中都非常重要,可以保證產(chǎn)品測(cè)試錯(cuò)誤覆蓋率
    發(fā)表于 12-15 09:53

    讓你徹底理解DFT

    百分之百的覆蓋率。另外,結(jié)構(gòu)測(cè)試向量在DFT應(yīng)用過程中起著至關(guān)重要的作用,為了得到高效率的此類測(cè)試向量,需要在設(shè)計(jì)階段實(shí)現(xiàn)特定的輔助性設(shè)計(jì);通過增加一定的硬件開銷,獲得最大可
    發(fā)表于 05-25 15:32

    幫你理解DFTscan technology

    前面一期的公眾號(hào)文章“讓你徹底理解DFT”幫助大家理解了DFT所解決的問題。一句話來概括之就是:借助特定的輔助性設(shè)計(jì),產(chǎn)生高效率的結(jié)構(gòu)性測(cè)試向量以檢測(cè)生產(chǎn)制造過程中引入芯片中的各種物理
    發(fā)表于 06-14 14:20

    基于掃描DFT對(duì)芯片測(cè)試的影響有哪些?

    基于掃描DFT方法掃描設(shè)計(jì)的基本原理是什么?掃描設(shè)計(jì)測(cè)試的實(shí)現(xiàn)過程是怎樣的?基于
    發(fā)表于 05-06 09:56

    DS26522 JTAG Scan Chain Mappin

    Abstract: This application note describes the JTAG hardware boundary scan chain for the DS26522
    發(fā)表于 04-18 11:45 ?863次閱讀
    DS26522 JTAG <b class='flag-5'>Scan</b> <b class='flag-5'>Chain</b> Mappin

    DFT掃描設(shè)計(jì)在控制芯片的測(cè)試的應(yīng)用

      本文通過對(duì)一種控制芯片的測(cè)試,證明通過采用插入掃描和自動(dòng)測(cè)試向量生成(ATPG)技術(shù),可有效地簡(jiǎn)化電路的測(cè)試,提高芯片的
    發(fā)表于 09-02 10:22 ?2314次閱讀
    <b class='flag-5'>DFT</b><b class='flag-5'>掃描</b>設(shè)計(jì)在控制芯片的<b class='flag-5'>測(cè)試</b><b class='flag-5'>中</b>的應(yīng)用

    一文讀懂DC/AC SCAN測(cè)試技術(shù)

    使用Testcompress 實(shí)現(xiàn)EDT壓縮scan chain 4 使用Testcompress 產(chǎn)生測(cè)試DC/ACpattern,同時(shí)產(chǎn)生測(cè)試驗(yàn)證的Testbench
    發(fā)表于 10-26 16:01 ?3.7w次閱讀
    一文讀懂DC/AC <b class='flag-5'>SCAN</b><b class='flag-5'>測(cè)試</b>技術(shù)

    DFT分步法原理分析

    的電性參數(shù)發(fā)生偏移,掃描測(cè)試失敗。這對(duì)DFT(Design for Test)以及ATPCJ(Automatic Test Pattern Ceneration)提出了更高的挑戰(zhàn)。
    發(fā)表于 11-11 16:20 ?9次下載
    <b class='flag-5'>DFT</b>分步法原理分析

    用全掃描結(jié)構(gòu)(FULL SCAN METHOD)來實(shí)現(xiàn)數(shù)字電路

    的生成(ATPG)以及測(cè)試的時(shí)序等諸多問題。并結(jié)合最常用的綜合工具 SYNOPSYS DFT COMPILER 部分,深入描述了為一數(shù)字電路芯片加入掃描部分和產(chǎn)生
    發(fā)表于 03-26 14:48 ?22次下載
    用全<b class='flag-5'>掃描</b>結(jié)構(gòu)(FULL <b class='flag-5'>SCAN</b> METHOD)來實(shí)現(xiàn)數(shù)字電路

    通過解決測(cè)試時(shí)間減少ASIC設(shè)計(jì)DFT占用空間

      在本文中,我們檢查了掃描壓縮確實(shí)有助于減少 ASIC 設(shè)計(jì)測(cè)試時(shí)間 (DFT),但掃描通道減少也是一種有助于頂層
    的頭像 發(fā)表于 06-02 14:25 ?1919次閱讀
    通過解決<b class='flag-5'>測(cè)試</b>時(shí)間減少ASIC設(shè)計(jì)<b class='flag-5'>中</b>的<b class='flag-5'>DFT</b>占用空間

    MCU芯片設(shè)計(jì)了mbist、scan chain之后,功能仿真失?。?/a>

    怎么配置DFT中常見的MBIST以及SCAN CHAIN

    今天這期小編將繼續(xù)與大家一起學(xué)習(xí)DFT的相關(guān)知識(shí)和流程代碼,在開始之前,先解決一下上期DFT學(xué)習(xí)的章節(jié)最后留下的問題—DFT工程師在收斂時(shí)序timing的時(shí)候經(jīng)常遇到的hold的問題,即不同時(shí)鐘域的兩個(gè)SDFF(
    的頭像 發(fā)表于 04-16 11:34 ?6713次閱讀

    是否需要補(bǔ)插scan chain的isolation cell?怎么插呢?

    當(dāng)然最顯而易見的辦法就是vclp檢查哪兒需要補(bǔ)插isolation cell,那么是否需要補(bǔ)插scan chain的isolation cell, 怎么插呢?
    的頭像 發(fā)表于 05-10 09:18 ?1283次閱讀
    是否需要補(bǔ)插<b class='flag-5'>scan</b> <b class='flag-5'>chain</b>的isolation cell?怎么插呢?

    芯片設(shè)計(jì)測(cè)試scan和bist的區(qū)別

    Scan stitching 是把上一步得到的Scan DFF的Q和SI連接在一起形成scan chain。在芯片的頂層有全局的SE信號(hào),
    發(fā)表于 10-09 16:53 ?3672次閱讀
    芯片設(shè)計(jì)<b class='flag-5'>測(cè)試</b><b class='flag-5'>中</b><b class='flag-5'>scan</b>和bist的區(qū)別