0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

用生成式AI設(shè)計(jì)芯片:解讀時(shí)序圖

冬至子 ? 來(lái)源:EETOP ? 作者:EETOP綜合 ? 2023-11-07 15:42 ? 次閱讀

在其眾多應(yīng)用中,一個(gè)特別有趣的領(lǐng)域是生成式AI 解釋數(shù)字設(shè)計(jì)波形并在調(diào)試過(guò)程中充當(dāng)硬件工程師輔助的能力。在本文中,我們將探討這項(xiàng)技術(shù)帶來(lái)的令人著迷的可能性及其對(duì)芯片開(kāi)發(fā)領(lǐng)域的影響。

實(shí)驗(yàn) 2:解釋設(shè)計(jì)波形

這個(gè)實(shí)驗(yàn)展示了生成式人工智能識(shí)別數(shù)據(jù)模式和趨勢(shì)的能力,并徹底改變了我們理解波形和與波形交互的方式。

在本實(shí)驗(yàn)中,Google 的生成式 AI 模型 – BARD 用于通過(guò)查看示例波形來(lái)生成符合 AMBA APB 協(xié)議的系統(tǒng)中寫(xiě)入傳輸?shù)慕忉?。

image.png

具有等待狀態(tài)的寫(xiě)傳輸

生成的解釋?zhuān)ㄟM(jìn)行調(diào)整以使其語(yǔ)言具有包容性):

免責(zé)聲明:本解釋未經(jīng)準(zhǔn)確性審查

圖中的波形顯示以下信號(hào)

TCLK:APB3 時(shí)鐘信號(hào)。

PADDR:APB3 地址總線(xiàn)。

PWRITE:APB3 寫(xiě)信號(hào)。

PSEL:APB3片選信號(hào)。

PENABLE:APB3 使能信號(hào)。

PWDATA:APB3 寫(xiě)數(shù)據(jù)總線(xiàn)。

PREADY:APB3 就緒信號(hào)。

數(shù)據(jù) 1:正在寫(xiě)入 APB3 完成器器件的數(shù)據(jù)。

波形顯示以下行為:

在時(shí)間 T1,PWRITE 信號(hào)變高,PADDR 總線(xiàn)由要寫(xiě)入的 APB3 完成器器件的地址驅(qū)動(dòng)。

在時(shí)間 T2,PSEL 信號(hào)變高。這表明 APB3 發(fā)起方已準(zhǔn)備好將數(shù)據(jù)傳輸?shù)酵瓿煞皆O(shè)備。

在時(shí)間 T3,PENABLE 信號(hào)變高。這表明 APB3 啟動(dòng)器已準(zhǔn)備好驅(qū)動(dòng) PWDATA 總線(xiàn)。

在時(shí)間 T4,PREADY 信號(hào)變高。這表明 APB3 完成器器件已準(zhǔn)備好接收 PWDATA 總線(xiàn)上的數(shù)據(jù)。

在時(shí)間 T5,PWRITE 信號(hào)變低。這表明 APB3 發(fā)起方已完成向完成方設(shè)備傳輸數(shù)據(jù)。

PSEL 和 PENABLE 信號(hào)保持高電平,直到 APB3 完成器器件完成數(shù)據(jù)處理。

未來(lái)會(huì)是什么樣子 – GenAI 支持的調(diào)試器 Copilot

生成式人工智能最令人興奮的應(yīng)用之一是它有可能在調(diào)試過(guò)程中成為開(kāi)發(fā)人員的強(qiáng)力助手。波形是硬件設(shè)計(jì)中復(fù)雜系統(tǒng)的命脈。

由生成式 AI 提供支持的調(diào)試器可以剖析波形、識(shí)別異常并關(guān)聯(lián)模式,這使其成為尋求理解復(fù)雜設(shè)計(jì)行為的工程師的寶貴工具。

開(kāi)發(fā)調(diào)試器 Copilot 的挑戰(zhàn)

雖然由生成式人工智能驅(qū)動(dòng)的調(diào)試器副駕駛的前景很誘人,但仍有一些挑戰(zhàn)需要解決。人工智能解釋的準(zhǔn)確性和可靠性至關(guān)重要。我們必須確保人工智能的見(jiàn)解是值得信賴(lài)的,而不僅僅是算法生成的響應(yīng)。

此外,隱私和數(shù)據(jù)安全問(wèn)題也很重要。在調(diào)試過(guò)程中處理敏感信息需要一個(gè)強(qiáng)大的框架來(lái)保護(hù)數(shù)據(jù)完整性。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • DDR
    DDR
    +關(guān)注

    關(guān)注

    11

    文章

    701

    瀏覽量

    65109
  • 人工智能
    +關(guān)注

    關(guān)注

    1789

    文章

    46438

    瀏覽量

    236677
  • 調(diào)試器
    +關(guān)注

    關(guān)注

    1

    文章

    297

    瀏覽量

    23640
  • 時(shí)鐘信號(hào)
    +關(guān)注

    關(guān)注

    4

    文章

    441

    瀏覽量

    28476
  • AI芯片
    +關(guān)注

    關(guān)注

    17

    文章

    1846

    瀏覽量

    34806
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    生成AI設(shè)計(jì)芯片解讀時(shí)序

    生成人工智能已經(jīng)在各個(gè)行業(yè)掀起波瀾,其潛力不斷擴(kuò)大。
    的頭像 發(fā)表于 11-29 14:48 ?1082次閱讀
    <b class='flag-5'>用</b><b class='flag-5'>生成</b><b class='flag-5'>式</b><b class='flag-5'>AI</b>設(shè)計(jì)<b class='flag-5'>芯片</b>—<b class='flag-5'>解讀</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>圖</b>

    生成AI的「七宗罪」!

    面對(duì)生成AI日漸增長(zhǎng)的「罪惡」,我們?cè)撊绾谓鉀Q問(wèn)題?
    的頭像 發(fā)表于 05-07 16:34 ?1693次閱讀
    <b class='flag-5'>生成</b><b class='flag-5'>式</b><b class='flag-5'>AI</b>的「七宗罪」!

    生成AI商業(yè)進(jìn)程加速,將帶動(dòng)芯片需求增長(zhǎng)

    電子發(fā)燒友網(wǎng)報(bào)道(文/李彎彎)近日,德勤發(fā)布最新報(bào)告指出,生成人工智能(GAI)會(huì)從商界熱議的概念轉(zhuǎn)變?yōu)橹厮苄袠I(yè)的現(xiàn)實(shí)。這將對(duì)算力產(chǎn)生很大的需求,從而拉動(dòng)對(duì)生成
    的頭像 發(fā)表于 01-30 00:47 ?5306次閱讀

    AI芯片怎么分類(lèi)?

    AI芯片作為產(chǎn)業(yè)核心,也是技術(shù)要求和附加值最高的環(huán)節(jié),在AI產(chǎn)業(yè)鏈中的產(chǎn)業(yè)價(jià)值和戰(zhàn)略地位遠(yuǎn)遠(yuǎn)大于應(yīng)用層創(chuàng)新。騰訊發(fā)布的《中美兩國(guó)人工智能產(chǎn)業(yè)發(fā)展全面解讀》報(bào)告顯示,基礎(chǔ)層的處理器/
    發(fā)表于 08-13 08:42

    怎么C語(yǔ)言根據(jù)芯片時(shí)序編寫(xiě)驅(qū)動(dòng)

    ADS7822工作的時(shí)序是怎樣的?怎么C語(yǔ)言根據(jù)芯片時(shí)序編寫(xiě)驅(qū)動(dòng)?怎樣52單片機(jī)去讀取P
    發(fā)表于 02-25 06:39

    什么是生成AI?生成AI的四大優(yōu)勢(shì)

    生成AI是一種特定類(lèi)型的AI,專(zhuān)注于生成新內(nèi)容,如文本、圖像和音樂(lè)。這些系統(tǒng)在大型數(shù)據(jù)集上進(jìn)行訓(xùn)練,并使用機(jī)器學(xué)習(xí)算法
    發(fā)表于 05-29 14:12 ?4436次閱讀

    虹軟圖像深度恢復(fù)技術(shù)與生成AI的創(chuàng)新 生成AI助力

    當(dāng)前,生成人工智能(AI)技術(shù)的快速發(fā)展令人矚目。它能夠理解人類(lèi)的描述,并在短時(shí)間內(nèi)生成逼真的圖像和視頻。在生成
    發(fā)表于 06-21 09:06 ?426次閱讀

    智慧有數(shù) 浪潮信息發(fā)布生成AI存儲(chǔ)解決方案

    當(dāng)前,生成AI(AIGC)已經(jīng)成為AI產(chǎn)業(yè)化發(fā)展的主戰(zhàn)場(chǎng),隨著大模型參數(shù)量和數(shù)據(jù)量的爆發(fā)式增長(zhǎng),多源異構(gòu)數(shù)據(jù)的傳、、管、存,正在成為制約
    的頭像 發(fā)表于 07-11 09:46 ?381次閱讀

    生成AI已成為企業(yè)新興風(fēng)險(xiǎn),但我們不應(yīng)該因噎廢食

    生成AI的主流企業(yè)例正在出現(xiàn)
    的頭像 發(fā)表于 09-08 17:48 ?349次閱讀

    利用 NVIDIA Jetson 實(shí)現(xiàn)生成 AI

    交互速率運(yùn)行的 Llama-2-70B 模型。 1. 領(lǐng)先的生成 AI 模型在? Jetson AGX Orin 上的推理性能 如要在 Jetson 上快速測(cè)試最新的模型和應(yīng)用,
    的頭像 發(fā)表于 11-07 21:25 ?864次閱讀
    利用 NVIDIA Jetson 實(shí)現(xiàn)<b class='flag-5'>生成</b><b class='flag-5'>式</b> <b class='flag-5'>AI</b>

    生成AI技術(shù)的應(yīng)用前景

    生成 AI(人工智能)與我們熟知的 AI 有何不同?這篇文章將為我們一探究竟!
    的頭像 發(fā)表于 11-29 12:20 ?1227次閱讀

    生成AI對(duì)智能家居的影響

    電子發(fā)燒友網(wǎng)站提供《生成AI對(duì)智能家居的影響.pdf》資料免費(fèi)下載
    發(fā)表于 01-02 14:50 ?17次下載

    安霸發(fā)布全新N1系列生成AI芯片

    安霸在CES 2024上發(fā)布了全新的N1系列生成AI芯片,這是一款專(zhuān)門(mén)為前端設(shè)備設(shè)計(jì)的芯片,支持本地運(yùn)行大型語(yǔ)言模型(LLM)應(yīng)用。其單顆
    的頭像 發(fā)表于 01-09 15:32 ?1250次閱讀

    生成 AI (3/4):如何緩解人才短缺,促進(jìn)芯片設(shè)計(jì)多元化?

    的錯(cuò)誤及其對(duì)芯片/系統(tǒng)設(shè)計(jì)的影響(第二篇)。本文是第三篇文章,聚焦點(diǎn)是:“生成AI能否緩解人才短缺,促進(jìn)多元化的芯片設(shè)計(jì)”?討論主持人強(qiáng)調(diào)
    的頭像 發(fā)表于 01-13 08:12 ?465次閱讀
    <b class='flag-5'>生成</b><b class='flag-5'>式</b> <b class='flag-5'>AI</b> (3/4):如何緩解人才短缺,促進(jìn)<b class='flag-5'>芯片</b>設(shè)計(jì)多元化?

    原來(lái)這才是【生成AI】??!

    隨著ChatGPT、文心一言等AI產(chǎn)品的火爆,生成AI已經(jīng)成為了大家茶余飯后熱議的話(huà)題??墒?,為什么要在AI前面加上“
    的頭像 發(fā)表于 06-05 08:04 ?290次閱讀
    原來(lái)這才是【<b class='flag-5'>生成</b><b class='flag-5'>式</b><b class='flag-5'>AI</b>】??!