0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何實現(xiàn)一種占空比為50%的奇數(shù)分頻器設(shè)計呢?

冬至子 ? 來源:芯光燦爛 ? 作者:Tianya ? 2023-11-07 17:29 ? 次閱讀

【設(shè)計要求】

實現(xiàn)占空比為50%的奇數(shù)分頻器(示例以三分頻為例).

【原理分析】

在進行數(shù)字電路設(shè)計的過程中,分頻器是設(shè)計中使用頻率較高的一種基本設(shè)計之一,雖然很多廠家都提供特定的電路模塊對時鐘進行分頻、倍頻以及特定相移等,但是對于時鐘要求不高的邏輯,特別是在仿真過程中,使用硬件描述語言實現(xiàn)分頻還是較為方便快捷的,同時通過硬件描述語言實現(xiàn)的時鐘分頻器對于鞏固和加深對于硬件描述語言理解不無裨益.

常用的分頻器有偶數(shù)分頻和奇數(shù)分頻,因為偶數(shù)分頻相較奇數(shù)分頻實現(xiàn)較為方便,此處路過不進行贅述.而奇數(shù)分頻又有占空比(占空比是指在一個脈沖循環(huán)內(nèi),高電平持續(xù)時間在所在整個周期中占據(jù)的比例)為50%和不為50%的情況,為了加深理解,本文僅以占空比為50%的奇數(shù)分頻為例進行說明.

占空比為50%的奇數(shù)分頻器:當(dāng)需要進行(2N+1)分頻時,偶數(shù)分頻所使用的的方法已經(jīng)不適用了,這是因為2N+1的一半是N+0.5,單獨對一個時鐘計數(shù)是得不到0.5個時鐘,因為一個計數(shù)器只能在時鐘的上升沿或者下降沿被采樣,所以一個周期之內(nèi)只能計數(shù)一次,無法得到0.5個計數(shù).但是需要注意的是在一個時鐘周期內(nèi)時鐘上升沿和下降沿之間剛好相差0.5個時鐘周期.

那么結(jié)合上升沿和下降沿相差的0.5個時鐘周期,就可以得到設(shè)計奇數(shù)分頻器的思路:采用兩個完全一樣的時鐘,其中一個計數(shù)器通過上升沿計數(shù),一個計數(shù)器通過下降沿計數(shù),然后利用兩個計數(shù)器的計數(shù)值結(jié)合偶數(shù)分頻的方法分別得到兩個中間時鐘(兩個中間時鐘的相差為原時鐘周期的奇數(shù)倍),因為要獲取的最終的奇數(shù)分頻后的時鐘的半周期為原時鐘周期半周期的奇數(shù)倍,所以通過兩個中間時鐘進行邏輯操作,即可衍生出奇數(shù)倍個原時鐘的半周期,從而獲取到最終的時鐘.

即奇數(shù)分頻器的分頻出時鐘的特點是:時鐘周期的半周期為原時鐘周期半周期的奇數(shù)倍。

【源代碼】

方法一:時鐘相或產(chǎn)生

圖片

第一步:分別使用原時鐘上升沿和下降沿產(chǎn)生兩個兩位計數(shù)器(基于上升沿計數(shù)的cnt1和基于下降沿計數(shù)的cnt2),計數(shù)器在計數(shù)到2(即2N)時,計數(shù)器歸零重新從零開始計數(shù),依次循環(huán);

第二步:cnt1計數(shù)到0或者計數(shù)到1(即N)時,clk1翻轉(zhuǎn),從而得到占空比為1:3的clk1;

第三步:cnt2計數(shù)到0或者計數(shù)到1(即N)時,clk2翻轉(zhuǎn),從而得到占空比為1:3的clk2;

第四步:因為clk1和clk2相差半個原時鐘周期,兩個中間時鐘進行或操作后,即可得到輸出時鐘clk_out;

圖片

方法二:時鐘相與產(chǎn)生

圖片

第一步:分別使用原時鐘上升沿和下降沿產(chǎn)生兩個兩位計數(shù)器(基于上升沿計數(shù)的cnt1和基于下降沿計數(shù)的cnt2),計數(shù)器在計數(shù)到2(即2N)時,計數(shù)器歸零重新從零開始計數(shù),依次循環(huán).

第二步:cnt1計數(shù)到0或者計數(shù)到2(即2N)時,clk1翻轉(zhuǎn),從而得到占空比為2:3的clk1;

第三步:cnt2計數(shù)到0或者計數(shù)到2(即2N)時,clk2翻轉(zhuǎn),從而得到占空比為2:3的clk2;

第四步:因為clk1和clk2相差半個原時鐘周期,兩個中間時鐘進行與操作后,即可得到輸出時鐘clk_out;

圖片

方法三:時鐘異或產(chǎn)生

第一步:實現(xiàn)計數(shù)功能;

通過時鐘上升沿實現(xiàn)計數(shù)器功能,在計數(shù)到2(即2N)時,計數(shù)器歸零重新從零開始計數(shù),依次循環(huán);

第二步:上升沿產(chǎn)生中間時鐘clk1;

在計數(shù)器計數(shù)到2時,clk1翻轉(zhuǎn),實現(xiàn)周期為6(2*(2N+1))的clk1;

第三步: 下降沿產(chǎn)生中間時鐘clk2

圖片

時鐘下降沿采樣計數(shù)器的計數(shù)值,在計數(shù)器計數(shù)到1(N)時,clk2翻轉(zhuǎn),實現(xiàn)周期為6的clk2,此時clk1和clk2相位差為1(N)個原時鐘周期加半個愿時鐘周期;

第四步:clk1和clk2異或,即可得到輸出時鐘clk_out;

圖片

前兩種方法使用了兩個計數(shù)器,最后一種方法使用了一個計數(shù)器.因為偶數(shù)分頻的半時鐘周期為原時鐘周期的偶數(shù)倍,奇數(shù)分頻時鐘周期的半周期為原來時鐘周期整數(shù)倍加半個時鐘周期,因此在進行奇數(shù)分頻時一定要注意獲取到原時鐘周期的半個周期,這樣才能在進行各種邏輯操作后獲取到期望的奇數(shù)分頻器.

【仿真結(jié)果】

圖片

【總結(jié)】

奇數(shù)分頻器實際上就是通過兩個分頻器輸出邏輯操作后獲得,關(guān)鍵點在于兩個分頻器需通過待分頻時鐘的上升沿和下降沿分別進行設(shè)計。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 分頻器
    +關(guān)注

    關(guān)注

    43

    文章

    447

    瀏覽量

    49704
  • 計數(shù)器
    +關(guān)注

    關(guān)注

    32

    文章

    2248

    瀏覽量

    94178
  • 占空比
    +關(guān)注

    關(guān)注

    0

    文章

    97

    瀏覽量

    29003
  • CLK
    CLK
    +關(guān)注

    關(guān)注

    0

    文章

    126

    瀏覽量

    17089
收藏 人收藏

    評論

    相關(guān)推薦

    奇數(shù)分頻如何得到? 解讀奇數(shù)分頻和邏輯分析儀(ILA)的使用

    。 奇數(shù)分頻如何得到? 第部分? 奇數(shù)分頻 奇數(shù)分頻方法: N倍奇數(shù)分頻,首先進行上升沿觸發(fā)
    的頭像 發(fā)表于 12-28 15:49 ?2882次閱讀

    需要講解占空比50%的奇數(shù)分頻器的原理

    本帖最后由 haozix521 于 2013-10-4 20:42 編輯 想做占空比50%的奇數(shù)分頻器,但是不是很了解這其中的意思。網(wǎng)上的資料都是這樣講的“對于
    發(fā)表于 10-04 20:35

    用VHDL怎樣設(shè)計數(shù)控半整數(shù)分頻器

    使用VHDL語言怎樣實現(xiàn)數(shù)控半整數(shù)分頻器,就當(dāng)輸入為3時,就實現(xiàn)3.5分頻,當(dāng)輸入為4時,就實現(xiàn)4.5
    發(fā)表于 12-02 18:28

    基于FPGACPLD的占空比為1∶n的n分頻器的設(shè)計

    基于FPGACPLD的占空比為1∶n的n分頻器的設(shè)計
    發(fā)表于 09-30 09:11

    利用Verilog實現(xiàn)奇數(shù)分頻

    %的三分頻時鐘。這種方法可以實現(xiàn)任意的奇數(shù)分頻。歸類為般的方法為:對于實現(xiàn)占空比為
    發(fā)表于 06-14 06:30

    如何利用Verilog實現(xiàn)奇數(shù)分頻

    %的三分頻時鐘。這種方法可以實現(xiàn)任意的奇數(shù)分頻。歸類為般的方法為:對于實現(xiàn)占空比為
    發(fā)表于 07-09 09:11

    基于FPGA 的等占空比任意整數(shù)分頻器的設(shè)計

    基于FPGA 的等占空比任意整數(shù)分頻器的設(shè)計 給出了一種基于FPGA 的等占空比任意整數(shù)分頻電路的設(shè)計方法。首先簡要介紹了FPGA 器件的
    發(fā)表于 02-22 14:22 ?39次下載

    FPGA實現(xiàn)數(shù)分頻器

    介紹了一種基于FPGA的雙模前置小數(shù)分頻器分頻原理及電路設(shè)計,并用VHDL編程實現(xiàn)分頻器的仿真.
    發(fā)表于 11-29 16:43 ?48次下載
    FPGA<b class='flag-5'>實現(xiàn)</b>小<b class='flag-5'>數(shù)分頻器</b>

    用Verilog實現(xiàn)基于FPGA的通用分頻器的設(shè)計

    用 Verilog實現(xiàn)基于FPGA 的通用分頻器的設(shè)計時鐘分頻包括奇數(shù)和偶數(shù)分頻
    發(fā)表于 07-14 11:32 ?46次下載

    分頻器的作用是什么 半整數(shù)分頻器原理圖分析

    分頻器主要分為偶數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻和小數(shù)分頻,如果在設(shè)計過程中采用參數(shù)化設(shè)計,就可以隨時改變參量以得到不同的
    發(fā)表于 02-01 01:28 ?1.6w次閱讀
    <b class='flag-5'>分頻器</b>的作用是什么 半整<b class='flag-5'>數(shù)分頻器</b>原理圖分析

    基于復(fù)雜可編程邏輯器件和VHDL語言實現(xiàn)半整數(shù)分頻器的設(shè)計

    在數(shù)字系統(tǒng)設(shè)計中,根據(jù)不同的設(shè)計需要,經(jīng)常會遇到偶數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻等,有的還要求等占空比。在基于cpld(復(fù)雜可編程邏輯器件)的數(shù)字系統(tǒng)設(shè)計中,很容易
    發(fā)表于 06-26 09:36 ?1010次閱讀
    基于復(fù)雜可編程邏輯器件和VHDL語言<b class='flag-5'>實現(xiàn)</b>半整<b class='flag-5'>數(shù)分頻器</b>的設(shè)計

    奇數(shù)分頻器的介紹和實現(xiàn)

    因為偶數(shù)分頻器過于簡單,所以我們從奇數(shù)分頻器開始說起8 01 奇數(shù)分頻器 ? ? 假設(shè)我們要實現(xiàn)個2N+1
    的頭像 發(fā)表于 03-12 15:44 ?6288次閱讀
    <b class='flag-5'>奇數(shù)分頻器</b>的介紹和<b class='flag-5'>實現(xiàn)</b>

    一種基于FPGA的分頻器實現(xiàn)

    一種基于FPGA的分頻器實現(xiàn)說明。
    發(fā)表于 05-25 16:57 ?16次下載

    奇數(shù)分頻器的設(shè)計

    篇文章介紹了偶分頻,今天來介紹奇數(shù)分頻器的設(shè)計。
    的頭像 發(fā)表于 03-23 15:06 ?968次閱讀
    <b class='flag-5'>奇數(shù)分頻器</b>的設(shè)計

    FPGA學(xué)習(xí)-分頻器設(shè)計

    分頻器設(shè)計 分頻器概念 板載時鐘往往 是 有限個( 50MHZ/100MHZ/24MHZ/60MHZ… ),如果在設(shè)計中需要其他時鐘時,板載時鐘不滿足時,需要對板載時鐘進行
    的頭像 發(fā)表于 11-03 15:55 ?1451次閱讀
    FPGA學(xué)習(xí)-<b class='flag-5'>分頻器</b>設(shè)計