0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

最新技術(shù)!英特爾于IFS Direct Connect會(huì)議上公布3D芯片技術(shù)、邏輯單元、背面供電等未來代工技術(shù)!

半導(dǎo)體芯科技SiSC ? 來源:半導(dǎo)體芯科技SiSC ? 作者:半導(dǎo)體芯科技SiS ? 2024-02-25 10:22 ? 次閱讀

來源:IEEE Spectrum

編譯:化合物半導(dǎo)體雜志

近日,在一場(chǎng)于圣何塞僅限受邀者參加的活動(dòng)舉行之前的一次獨(dú)家采訪中,英特爾通過分享其未來數(shù)據(jù)中心處理器的一覽,概述了它將為其代工客戶提供的新型芯片技術(shù)。這些進(jìn)步包括更密集的邏輯以及內(nèi)部連接性增加16倍的3D堆疊芯片,它們將是該公司與其他公司的芯片架構(gòu)師共享的首批高端技術(shù)之一。

這些新技術(shù)將達(dá)到英特爾長達(dá)數(shù)年轉(zhuǎn)型的頂峰。這家處理器制造商正在從一家只生產(chǎn)自己芯片的公司轉(zhuǎn)變?yōu)橐患掖S,為其他公司生產(chǎn)芯片,并將自己的產(chǎn)品團(tuán)隊(duì)視為另一個(gè)客戶。此次圣何塞的IFS Direct Connect活動(dòng)旨在作為新商業(yè)模式的亮相派對(duì)。

英特爾內(nèi)部計(jì)劃在代號(hào)為Clearwater Forest的服務(wù)器CPU中使用這些技術(shù)組合。該公司認(rèn)為該產(chǎn)品是一種具有數(shù)千億個(gè)晶體管的片上系統(tǒng),是其代工業(yè)務(wù)的其他客戶能夠?qū)崿F(xiàn)的目標(biāo)的一個(gè)例子。

英特爾數(shù)據(jù)中心技術(shù)和探路總監(jiān)Eric Fetzer表示,“我們的目標(biāo)是讓計(jì)算達(dá)到我們能夠?qū)崿F(xiàn)的最佳每瓦性能”。這意味著會(huì)應(yīng)用該公司最先進(jìn)的制造技術(shù)Intel 18A。

3D堆疊“通過縮短躍點(diǎn)來改善計(jì)算和內(nèi)存之間的延遲,同時(shí)啟用更大的緩存”

—PUSHKAR RANADE

他補(bǔ)充道:“但是,如果我們將該技術(shù)應(yīng)用于整個(gè)系統(tǒng),就會(huì)遇到其他潛在問題,系統(tǒng)的某些部分不一定能像其他部分一樣可擴(kuò)展。邏輯通??梢愿鶕?jù)摩爾定律很好地?cái)U(kuò)展一代又一代?!倍渌δ軇t不然。例如,SRAM(CPU的高速緩存)一直滯后于邏輯。連接處理器和計(jì)算機(jī)其余部分的I/O電路則更加落后。

面對(duì)這些現(xiàn)實(shí),正如所有領(lǐng)先處理器制造商現(xiàn)在面臨的那樣,英特爾將Clearwater Forest的系統(tǒng)分解為其核心功能,選擇最適合的技術(shù)來構(gòu)建每個(gè)功能,并使用一套新技術(shù)將它們重新縫合在一起。其結(jié)果是CPU架構(gòu)能夠擴(kuò)展到多達(dá)3000億個(gè)晶體管。

在Clearwater Forest中,數(shù)十億個(gè)晶體管被分為三種不同類型的硅IC,稱為裸片或小芯片,將其互連并封裝在一起。該系統(tǒng)的核心是使用Intel 18A工藝構(gòu)建的多達(dá)12個(gè)處理器核心小芯片。這些小芯片以3D方式堆疊在三個(gè)使用Intel 3構(gòu)建的“基礎(chǔ)芯片”之上,該工藝為今年推出的Sierra Forest CPU制造計(jì)算核心。CPU的主高速緩存、電壓調(diào)節(jié)器和內(nèi)部網(wǎng)絡(luò)將安裝在基礎(chǔ)芯片上。高級(jí)首席工程師Pushkar Ranade表示:“堆疊通過縮短躍點(diǎn)來改善計(jì)算和內(nèi)存之間的延遲,同時(shí)啟用更大的緩存”。

最后,CPU的I/O系統(tǒng)將位于使用Intel 7構(gòu)建的兩個(gè)芯片上,到2025年,該芯片將落后該公司最先進(jìn)的工藝整整四代。事實(shí)上,這些小芯片與Sierra Forest和Granite Rapids CPU中的小芯片基本相同,從而減少了開發(fā)費(fèi)用。

以下是相關(guān)新技術(shù)及其提供的功能:

3D混合鍵合

英特爾當(dāng)前的芯片堆疊互連技術(shù)Foveros將一個(gè)芯片連接到另一個(gè)芯片,采用的是芯片長期以來與封裝連接方式的大幅縮小版本:焊料的微小“微凸塊”短暫熔化以連接芯片。這使得Meteor Lake CPU中使用的Foveros版本大約每36微米建立一個(gè)連接。Clearwater Forest將使用新技術(shù)Foveros Direct 3D,該技術(shù)不同于基于焊接的方法,可將3D連接的密度提高16倍。

它被稱為“混合鍵合”,類似于將兩個(gè)芯片表面的銅焊盤焊接在一起。這些焊盤稍微凹陷并被絕緣體包圍。當(dāng)將兩個(gè)芯片壓在一起時(shí),一個(gè)芯片上的絕緣體會(huì)粘附到另一芯片上。然后,對(duì)堆疊的芯片進(jìn)行加熱,使銅在間隙中膨脹并粘合在一起,形成永久連接。其競(jìng)爭對(duì)手臺(tái)積電在某些AMD CPU中使用混合綁定版本,將額外的高速緩存連接到處理器核心小芯片,并在AMD最新GPU中將計(jì)算小芯片連接到系統(tǒng)的基礎(chǔ)芯片。

Fetzer表示,“混合鍵合互連能夠大幅提高”連接密度?!斑@種密度對(duì)于服務(wù)器市場(chǎng)非常重要,特別是因?yàn)檫@種密度驅(qū)動(dòng)著非常低的皮焦每比特通信?!?如果每比特的能源成本太高,則數(shù)據(jù)從一個(gè)硅芯片傳輸?shù)搅硪粋€(gè)硅芯片所涉及的能量很容易消耗產(chǎn)品功率預(yù)算的很大一部分。Foveros Direct 3D使每比特的成本降至0.05皮焦耳以下,這使其與在硅芯片內(nèi)移動(dòng)比特所需的能量處于同一水平。

節(jié)省的大部分能源來自于傳輸更少的銅線的數(shù)據(jù)。假設(shè)將一個(gè)芯片上的512總線連接到另一個(gè)芯片上相同大小的總線,這樣兩個(gè)芯片可以共享一組連貫的信息。在每個(gè)芯片上,這些總線可能窄至每微米10-20根線。要使用當(dāng)今的36微米間距微凸塊技術(shù)將信號(hào)從一個(gè)芯片傳輸?shù)搅硪粋€(gè)芯片,意味著將這些信號(hào)分散到一側(cè)數(shù)百平方微米的硅上,然后將它們聚集到另一側(cè)的同一區(qū)域。Fetzer表示,對(duì)所有額外的銅和焊料進(jìn)行充電“很快就會(huì)成為延遲和大功率問題”。相比之下,混合鍵合可以在幾個(gè)微凸塊占據(jù)的同一區(qū)域中進(jìn)行總線到總線的連接。

盡管這些好處可能很大,但轉(zhuǎn)向混合鍵合并不容易。要形成混合鍵合,需要將已經(jīng)切割的硅芯片與仍附著在晶圓上的硅芯片連接起來。正確對(duì)齊所有連接意味著芯片必須被切割成比微凸塊技術(shù)所需的更大的公差。修復(fù)和恢復(fù)也需要不同的技術(shù)。Fetzer 表示,甚至連接失敗的主要方式也是不同的。對(duì)于微凸塊,則有可能因連接到相鄰焊點(diǎn)的一點(diǎn)焊料而發(fā)生短路。但對(duì)于混合鍵合,危險(xiǎn)則是導(dǎo)致連接斷開的缺陷。

背面供電

該公司今年通過其英特爾20A工藝(將先于英特爾18A的工藝)為芯片制造帶來的主要區(qū)別之一是背面供電。在當(dāng)今的處理器中,所有互連,無論是承載電力還是數(shù)據(jù),都構(gòu)建在芯片的“正面”硅襯底上方。Foveros和其他3D芯片堆疊技術(shù)需要硅通孔、互連,這些互連可以向下鉆穿硅以從另一側(cè)建立連接。但背面電力傳輸更進(jìn)一步。它將所有電源互連放置在硅下方,基本上將包含晶體管的層夾在兩組互連之間。

這種布置會(huì)產(chǎn)生相關(guān)影響,因?yàn)殡娫椿ミB和數(shù)據(jù)互連需要不同的功能。電源互連需要較寬以減少電阻,而數(shù)據(jù)互連應(yīng)較窄以便可以密集封裝。隨著今年下半年Arrow Lake CPU的發(fā)布,英特爾將成為第一家在商用芯片中引入背面供電的芯片制造商。英特爾去年夏天發(fā)布的數(shù)據(jù)顯示,僅背面供電就帶來了6%的性能提升。

英特爾18A工藝技術(shù)的背面供電網(wǎng)絡(luò)技術(shù)將與英特爾20A芯片中的技術(shù)基本相同。然而,它在Clearwater Forest中得到了更大的利用。即將推出的CPU在基礎(chǔ)芯片中包含所謂的“片上電壓調(diào)節(jié)器”。使電壓調(diào)節(jié)接近其驅(qū)動(dòng)的邏輯意味著邏輯可以運(yùn)行得更快。距離越短,調(diào)節(jié)器就能更快地響應(yīng)電流需求的變化,同時(shí)消耗更少的功率。

由于邏輯芯片使用背面供電,因此電壓調(diào)節(jié)器和芯片邏輯之間的連接電阻要低得多。“通過技術(shù)提供的動(dòng)力以及Foveros堆疊為我們提供了一種非常有效的連接方式,”Fetzer說道。

RibbonFET,下一代

除了背面電源之外,該芯片制造商還采用英特爾20A工藝改用不同的晶體管結(jié)構(gòu):RibbonFET。RibbonFET是一種納米片或環(huán)柵晶體管,自2011年以來取代了FinFET(CMOS 的主力晶體管)。對(duì)于Intel 18A,Clearwater Forest的邏輯芯片將采用第二代RibbonFET工藝制造。Fetzer表示,雖然這些器件本身與Intel 20A中出現(xiàn)的器件沒有太大區(qū)別,但器件的設(shè)計(jì)具有更大的靈活性。

他還表示,“除了實(shí)現(xiàn)高性能CPU所需的功能之外,還有更廣泛的器件可以支持各種代工應(yīng)用,”這正是Intel 20A工藝的設(shè)計(jì)目的。

wKgaomXYgB2Aahr4AAEbAnqs3hE730.jpg

RibbonFET的納米線可以根據(jù)邏輯單元的需要具有不同的寬度。圖源:英特爾

其中一些變化源于FinFET時(shí)代失去的一定程度的靈活性。在 FinFET出現(xiàn)之前,采用相同工藝的晶體管可以制成多種寬度,從而允許在性能(伴隨更高電流)和效率(需要更好地控制漏電流)之間進(jìn)行或多或少的連續(xù)權(quán)衡。由于FinFET的主要部分是具有規(guī)定高度和寬度的垂直硅鰭(fin),因此現(xiàn)在必須權(quán)衡一個(gè)器件具有多少鰭(fin)。因此,使用兩個(gè)鰭可以使電流加倍,但沒有辦法將其增加25%或50%。

有了納米片器件,改變晶體管寬度的能力又回來了。Fetzer說道:“RibbonFET技術(shù)可在同一技術(shù)基礎(chǔ)上實(shí)現(xiàn)不同尺寸的焊帶,當(dāng)我們從英特爾20A轉(zhuǎn)向英特爾18A時(shí),我們?cè)诰w管尺寸方面提供了更大的靈活性?!?/p>

這種靈活性意味著設(shè)計(jì)人員可以用來構(gòu)建系統(tǒng)的標(biāo)準(zhǔn)單元(基本邏輯塊)可包含具有不同屬性的晶體管。這使得英特爾能夠開發(fā)出一個(gè)“增強(qiáng)型庫”,其中包括比英特爾20A工藝的標(biāo)準(zhǔn)單元更小、性能更好或更高效的標(biāo)準(zhǔn)單元。

第二代EMIB

在Clearwater Forest中,處理輸入和輸出的芯片使用第二代英特爾EMIB水平連接到基礎(chǔ)芯片(具有高速緩存和網(wǎng)絡(luò)的芯片)。EMIB是一小塊硅,包含一組密集的互連和微凸塊,旨在將一個(gè)芯片連接到同一平面上的另一個(gè)芯片。硅被嵌入到封裝內(nèi)部,在芯片之間形成一個(gè)橋梁。

自Sapphire Rapids于2023年發(fā)布以來,該技術(shù)已在英特爾CPU中投入商業(yè)使用。它是一種成本較低的替代方案,可將所有芯片放在硅中介層上,硅中介層是一塊帶有互連圖案的硅片,其大小足以容納所有芯片。系統(tǒng)的芯片可供放置。除了材料成本之外,硅中介層的建造成本可能很高,因?yàn)樗鼈兺ǔ1葮?biāo)準(zhǔn)硅工藝設(shè)計(jì)的尺寸大幾倍。

第二代EMIB今年與Granite Rapids CPU一起首次亮相,它將微凸塊連接的間距從55微米縮小到45微米,并提高了電線的密度。這種連接的主要挑戰(zhàn)是封裝和硅在加熱時(shí)以不同的速率膨脹。這種現(xiàn)象可能會(huì)導(dǎo)致翹曲,從而破壞連接。

此外,就Clearwater Forest而言,F(xiàn)etzer說道:“還存在一些獨(dú)特的挑戰(zhàn),因?yàn)槲覀儗⒊R?guī)芯片上的EMIB連接到Foveros Direct 3D基礎(chǔ)芯片和堆疊上的EMIB”。他表示,這種情況最近被重新命名為EMIB 3.5技術(shù)(以前稱為co-EMIB),需要采取特殊步驟來確保所涉及的應(yīng)力和應(yīng)變與Foveros堆疊中的硅兼容,F(xiàn)overos堆疊比普通芯片更薄。

審核編輯 黃宇

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    50005

    瀏覽量

    419725
  • 英特爾
    +關(guān)注

    關(guān)注

    60

    文章

    9819

    瀏覽量

    171131
  • 3D芯片
    +關(guān)注

    關(guān)注

    0

    文章

    52

    瀏覽量

    18406
  • FinFET
    +關(guān)注

    關(guān)注

    12

    文章

    247

    瀏覽量

    90054
  • EMIB
    +關(guān)注

    關(guān)注

    0

    文章

    12

    瀏覽量

    3899
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    英特爾推進(jìn)面向未來節(jié)點(diǎn)的技術(shù)創(chuàng)新,在2025年后鞏固制程領(lǐng)先性

    18A兩個(gè)節(jié)點(diǎn),將繼續(xù)采用EUV技術(shù),并應(yīng)用RibbonFET全環(huán)繞柵極晶體管和PowerVia背面供電技術(shù),助力英特爾
    的頭像 發(fā)表于 05-16 15:38 ?335次閱讀

    英特爾拿下微軟芯片代工訂單

    英特爾近日在美國圣荷西舉行的首次晶圓代工活動(dòng)中公布了其雄心勃勃的制程延伸藍(lán)圖。該公司首席執(zhí)行官在會(huì)上表示,通過采用Intel 18A先進(jìn)制程技術(shù),
    的頭像 發(fā)表于 02-26 10:01 ?587次閱讀

    英特爾首推面向AI時(shí)代的系統(tǒng)級(jí)代工英特爾代工

    英特爾首推面向AI時(shí)代的系統(tǒng)級(jí)代工——英特爾代工(Intel Foundry),在技術(shù)、韌性和可持續(xù)性方面均處于領(lǐng)先地位。
    的頭像 發(fā)表于 02-25 10:38 ?460次閱讀
    <b class='flag-5'>英特爾</b>首推面向AI時(shí)代的系統(tǒng)級(jí)<b class='flag-5'>代工</b>—<b class='flag-5'>英特爾</b><b class='flag-5'>代工</b>

    英特爾委任臺(tái)積電代工CPU,提升其運(yùn)營實(shí)力

    基辛格在英特爾IFS Direct Connect 2024”大會(huì)上接受采訪時(shí)表示,該訂單涉及對(duì)臺(tái)積電的3納米訂單中占較大比例的CPU
    的頭像 發(fā)表于 02-23 09:52 ?1022次閱讀

    微軟聯(lián)手英特爾芯片,欲降低對(duì)英偉達(dá)依賴

    今日消息,英特爾IFS Direct Connect 2024會(huì)議召開,微軟首席執(zhí)行官薩蒂亞·納德拉以虛擬形式致辭,宣布將采用Intel 1
    的頭像 發(fā)表于 02-22 14:57 ?485次閱讀

    盟立獲應(yīng)用材料認(rèn)證,進(jìn)軍玻璃基板封裝用EFEM市場(chǎng)

    值得注意的是,在此次IFS晶圓代工會(huì)議英特爾公布了最新的3D先進(jìn)封裝
    的頭像 發(fā)表于 02-22 14:08 ?709次閱讀

    Sarcina Technology加入英特爾聯(lián)盟

    來源:Silicon Semiconductor 《半導(dǎo)體芯科技》編譯 Sarcina Technology是一家致力提供領(lǐng)先的特定應(yīng)用高級(jí)封裝服務(wù)(ASAP)的公司,加入了英特爾代工服務(wù)(
    的頭像 發(fā)表于 02-05 12:05 ?343次閱讀

    英特爾實(shí)現(xiàn)3D先進(jìn)封裝技術(shù)的大規(guī)模量產(chǎn)

    近日,英特爾宣布已經(jīng)實(shí)現(xiàn)了基于業(yè)界領(lǐng)先的半導(dǎo)體封裝解決方案的大規(guī)模生產(chǎn),其中包括其突破性的3D封裝技術(shù)Foveros。這項(xiàng)技術(shù)為多種芯片的組
    的頭像 發(fā)表于 02-01 14:40 ?605次閱讀

    英特爾實(shí)現(xiàn)大規(guī)模生產(chǎn)3D封裝技術(shù)Foveros

    英特爾最近宣布,他們已經(jīng)實(shí)現(xiàn)了基于業(yè)界領(lǐng)先的半導(dǎo)體封裝解決方案的大規(guī)模生產(chǎn),其中包括具有劃時(shí)代意義的3D封裝技術(shù)Foveros。
    的頭像 發(fā)表于 01-26 16:53 ?1322次閱讀

    英特爾量產(chǎn)3D Foveros封裝技術(shù)

    英特爾在封裝技術(shù)方面取得了重大突破,并已經(jīng)開始大規(guī)模生產(chǎn)基于3D Foveros技術(shù)的產(chǎn)品。這項(xiàng)技術(shù)使得
    的頭像 發(fā)表于 01-26 16:04 ?548次閱讀

    英特爾3D封裝技術(shù)實(shí)現(xiàn)大規(guī)模量產(chǎn)

    近日,英特爾(Intel)宣布,其已成功實(shí)現(xiàn)基于業(yè)界領(lǐng)先的半導(dǎo)體封裝解決方案的大規(guī)模生產(chǎn),其中包括突破性的3D封裝技術(shù)Foveros。這一技術(shù)在新墨西哥州Fab 9工廠中完成升級(jí)并投產(chǎn)
    的頭像 發(fā)表于 01-26 16:03 ?540次閱讀

    英特爾實(shí)現(xiàn)3D先進(jìn)封裝技術(shù)的大規(guī)模量產(chǎn)

    英特爾宣布已實(shí)現(xiàn)基于業(yè)界領(lǐng)先的半導(dǎo)體封裝解決方案的大規(guī)模生產(chǎn),其中包括英特爾突破性的3D封裝技術(shù)Foveros,該技術(shù)為多種
    的頭像 發(fā)表于 01-25 14:24 ?249次閱讀

    英特爾CEO基辛格:公司沒有剝離代工芯片制造業(yè)務(wù)的計(jì)劃

    吉辛格強(qiáng)調(diào),在當(dāng)下環(huán)境中,內(nèi)部代工模式無疑是最佳選擇。事實(shí),英特爾已悄悄地運(yùn)營著兩個(gè)相互獨(dú)立的企業(yè):一為芯片設(shè)計(jì)端,一為生產(chǎn)基地,部分意圖正是要讓
    的頭像 發(fā)表于 12-15 09:35 ?364次閱讀

    英特爾展示下一代晶體管微縮技術(shù)突破,將用于未來制程節(jié)點(diǎn)

    在IEDM 2023,英特爾展示了結(jié)合背面供電和直接背面觸點(diǎn)的3D堆疊CMOS晶體管,這些開創(chuàng)
    的頭像 發(fā)表于 12-11 16:31 ?578次閱讀

    英特爾宣布完成PowerVia背面供電技術(shù)的開發(fā)

    英特爾在2023年國際電子設(shè)備制造大會(huì)上宣布,他們已經(jīng)成功完成了一項(xiàng)名為PowerVia的背面供電技術(shù)的開發(fā)。這個(gè)技術(shù)是基于
    的頭像 發(fā)表于 12-11 16:10 ?783次閱讀
    <b class='flag-5'>英特爾</b>宣布完成PowerVia<b class='flag-5'>背面</b><b class='flag-5'>供電</b><b class='flag-5'>技術(shù)</b>的開發(fā)