0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

使用SFC 51 "RDSYSST"讀取系統(tǒng)狀態(tài)

機器人及PLC自動化應(yīng)用 ? 來源:機器人及PLC自動化應(yīng)用 ? 2024-04-15 11:28 ? 次閱讀

使用SFC 51 "RDSYSST"讀取系統(tǒng)狀態(tài)

管腳說明:

EQ INPUT BOOL I、Q、M、D、L、

常數(shù) REQ=1:啟動處理

SSL_ID INPUT WORD I、Q、M、D、L、

常數(shù) 將要讀取的系統(tǒng)狀態(tài)列表或部分列表的SSL-ID。

INDEX INPUT WORD I、Q、M、D、L、

常數(shù) 部分列表中對象的類型或編號。

RET_VAL OUTPUT INT I、Q、M、D、L

如果執(zhí)行SFC時出錯,則RET_VAL參數(shù)將包含錯誤代碼。

BUSY OUTPUT BOOL I、Q、M、D、L

TRUE:尚未完成讀取。

SSL_HEADER OUTPUT STRUCT D、L 參見下文。

DR OUTPUT ANY I、Q、M、L、D

SSL列表讀取或SSL部分列表讀取的目標(biāo)區(qū)域:?如果僅讀取了SSL列表的標(biāo)題信息,則不能評估DR的值,而只能評估SSL_HEADER的值。?否則,LENTHDR和N_DR的乘積將指示已在DR中輸入了多少字節(jié)。

SZL_ID := W#16#0D91

指定機架/DP站(DP或PROFINET)中的所有模塊

的模塊狀態(tài)信息 機架或DP主站系統(tǒng)ID和站號或站號和PNIO子系統(tǒng)ID的最后兩個位置

SOLL_0094 : STRUCT // Sollausbau PN

INDEX : WORD;

ID : ARRAY [0 .. 2047] OF BOOL;

SZL_ID := W#16#0294

06e2bc76-f9b3-11ee-a297-92fbcf53809c.png

IST_0294 : STRUCT // Istausbau PN

INDEX : WORD;

ID : ARRAY [0 .. 2047] OF BOOL;

IO控制器系統(tǒng)的中央機架/站中的機架的實際狀態(tài)

SZL_ID := W#16#0174

07020f40-f9b3-11ee-a297-92fbcf53809c.png

LED的狀態(tài) LED標(biāo)識符,在H系統(tǒng)中切換的DP從站

STATUS_BF2_LED : STRUCT // Profibus LED

cpu_led_kennung : WORD;

led_on : BYTE;

led_blink : BYTE;

STATUS_BF3_LED : STRUCT // Profinet LED

cpu_led_kennung : WORD;

led_on : BYTE;

led_blink : BYTE;

STATUS_MAINT_LED : STRUCT // Maint LED

cpu_led_kennung : WORD;

led_on : BYTE;

led_blink : BYTE;

SZL_ID := W#16#0094

IO控制器系統(tǒng)的中央機架/站中的機架的期望狀態(tài)

SZL_ID := W#16#0694

IO控制器系統(tǒng)的中央機架/站中的擴展單元的診斷狀態(tài)

SZL_ID := W#16#0794

IO控制系統(tǒng)的中央機架/站中的機架的維護狀態(tài)
例:

IF xPN_0294 THEN

ergSFC51_1 := RDSYSST(REQ := xPN_0294, 讀取PLC的IO控制器狀態(tài)

SZL_ID := W#16#0294,

INDEX := wIO_System,

BUSY := xBUSY_PN_Ist, -=TRUE證明還沒有讀完

SZL_HEADER :=SZL_HEADER,

DR := IST_0294 );

END_IF;

SSL_HEADER參數(shù)是一個如下定義的結(jié)構(gòu):

SSL_HEADER: STRUCT

LENTHDR:WORD

N_DR:WORD

END_STRUCT



審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 控制器
    +關(guān)注

    關(guān)注

    112

    文章

    16039

    瀏覽量

    176741
  • SSL
    SSL
    +關(guān)注

    關(guān)注

    0

    文章

    122

    瀏覽量

    25699
  • SFC
    SFC
    +關(guān)注

    關(guān)注

    0

    文章

    44

    瀏覽量

    11247

原文標(biāo)題:讀取CPU狀態(tài)的系統(tǒng)功能SFC51—— RDSYSST

文章出處:【微信號:gh_a8b121171b08,微信公眾號:機器人及PLC自動化應(yīng)用】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    為什么打印函數(shù)rt_kprintf("");會多一個空行?

    請問達人們,為什么打印出來的行與行之間會多一行? 突然中間就多一行,圖2代碼如下,是例程。謝謝static void led2_thread_entry(void parameter)&amp
    發(fā)表于 11-08 10:13

    教你如何搭建淺層神經(jīng)網(wǎng)絡(luò)"Hello world"

    作為圖像識別與機器視覺界的 "hello world!" ,MNIST ("Modified National Institute of Standards and Technology&
    的頭像 發(fā)表于 12-10 18:35 ?878次閱讀

    幾種IO口模擬串口"硬核"操作

    1、聊一聊 好了,今天為大家?guī)韼追NIO口模擬串口"硬核"操作,相信大家對類似于串口這樣的電平類通信會有新的認識。 2、IO模擬串口需求 "IO模擬
    的頭像 發(fā)表于 02-10 11:51 ?4781次閱讀
    幾種IO口模擬串口&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;硬核&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;操作

    Sublime Text3直接編譯51單片機程序

    在Sublime Text中新建編譯系統(tǒng),配置如下:{ &quot;working_dir&quot;: &quot;C:\\Keil_v5\\C5
    發(fā)表于 11-20 13:51 ?1次下載
    Sublime Text3直接編譯<b class='flag-5'>51</b>單片機程序

    &quot;51單片機中data,idata,xdata,pdata的區(qū)別&quot;

    &quot;51單片機中data,idata,xdata,pdata的區(qū)別&quot;
    發(fā)表于 11-23 16:51 ?14次下載
    &<b class='flag-5'>quot</b>;<b class='flag-5'>51</b>單片機中data,idata,xdata,pdata的區(qū)別&<b class='flag-5'>quot</b>;

    &quot;STM32F0 Error: Flash Download failed - &quot;&quot;Cortex-M0&quot;&quot;解決&quot;

    開發(fā)工具CUbemx + Keil uVision5(MDK V5)錯誤現(xiàn)象在STM32F0燒錄程序是出現(xiàn)了Error: Flash Download failed - &amp;quot
    發(fā)表于 12-01 12:06 ?31次下載
    &<b class='flag-5'>quot</b>;STM32F0 Error: Flash Download failed  -  &<b class='flag-5'>quot</b>;&<b class='flag-5'>quot</b>;Cortex-M0&<b class='flag-5'>quot</b>;&<b class='flag-5'>quot</b>;解決&<b class='flag-5'>quot</b>;

    怎樣去讀取DP或PN總線上各站點的狀態(tài)呢?

    在用戶程序(OB1)中,使用 SFC51 &quot;RDSYSST"; 讀出 CPU 的系統(tǒng)狀態(tài)列表。
    的頭像 發(fā)表于 01-08 09:34 ?1250次閱讀

    喜訊 | 凌科電氣榮獲國家級專精特新&amp;amp;quot;小巨人&amp;amp;quot;企業(yè)

    熱烈祝賀凌科電氣成功榮獲國家級專精特新“小巨人”企業(yè)凌科入選國家級專精特新“小巨人”企業(yè)近日,國家級專精特新&quot;小巨人&quot;企業(yè)名單公布,凌科電氣成功入選國家級專精特新&quot
    的頭像 發(fā)表于 08-26 16:33 ?673次閱讀
    喜訊 | 凌科電氣榮獲國家級專精特新&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;小巨人&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;企業(yè)

    通過SFC 51讀取CPU的指示燈狀態(tài)

    可以通過SFC 51讀取CPU的指示燈狀態(tài),使用的SSL_ID參數(shù)為16#74(16#19)讀取全部指示燈
    的頭像 發(fā)表于 07-24 16:34 ?1477次閱讀
    通過<b class='flag-5'>SFC</b> <b class='flag-5'>51</b><b class='flag-5'>讀取</b>CPU的指示燈<b class='flag-5'>狀態(tài)</b>

    西門子PLC讀取存儲卡的序列號

    為了獲得 MMC 卡的序列號,必須使用 SFC 51 &quot;RDSYSST"; 讀出系統(tǒng)
    的頭像 發(fā)表于 07-25 10:31 ?2668次閱讀
    西門子PLC<b class='flag-5'>讀取</b>存儲卡的序列號

    芯片工藝的&amp;quot;7nm&amp;quot; 、&amp;quot;5nm&amp;quot;到底指什么?

    近幾年,芯片產(chǎn)業(yè)越來越火熱,一些行業(yè)內(nèi)的術(shù)語大家也聽得比較多了。那么工藝節(jié)點、制程是什么,&quot;7nm&quot; 、&quot;5nm&quot;又是指什么?
    的頭像 發(fā)表于 07-28 17:34 ?7627次閱讀
    芯片工藝的&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;7nm&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>; 、&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;5nm&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;到底指什么?

    橙群微電子NanoBeacon SoC 在 &amp;amp;quot;Truly Innovative Electronics &amp;amp;quot;評選中脫穎而出

    InPlay我們的#NanoBeaconSoC產(chǎn)品在ElectronicsForYou的&quot;TrulyInnovativeElectronics&quot;評選中脫穎而出
    的頭像 發(fā)表于 08-18 08:32 ?572次閱讀
    橙群微電子NanoBeacon SoC 在  &<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;Truly Innovative Electronics &<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;評選中脫穎而出

    第二代配網(wǎng)行波故障預(yù)警與定位裝置YT/XJ-001:守護電力線路的超能&amp;amp;quot;哨兵&amp;amp;quot;

    第二代配網(wǎng)行波故障預(yù)警與定位裝置YT/XJ-001:守護電力線路的超能&quot;哨兵&quot; 電力,如同現(xiàn)代社會的血脈,支撐著我們的生活和工作正常運行。然而,一旦這條血脈出現(xiàn)故障,生活和工作
    的頭像 發(fā)表于 01-22 15:11 ?477次閱讀
    第二代配網(wǎng)行波故障預(yù)警與定位裝置YT/XJ-001:守護電力線路的超能&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;哨兵&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;

    科沃斯掃地機器人通過TüV萊茵&amp;quot;防纏繞&amp;quot;和&amp;quot;高效邊角清潔&amp;quot;認證

    3月15日,在2024中國家電及消費電子博覽會(AWE)上,國際獨立第三方檢測、檢驗和認證機構(gòu)德國萊茵TüV大中華區(qū)(簡稱&quot;TüV萊茵&quot;)為科沃斯兩款掃地機器人(型號:DDX14、DDX11)
    的頭像 發(fā)表于 03-17 10:49 ?787次閱讀

    全方位精準(zhǔn)測量技術(shù)助力:中國經(jīng)濟加力發(fā)展向前&amp;amp;quot;進&amp;amp;quot;

    全方位精準(zhǔn)測量技術(shù)助力:中國經(jīng)濟加力發(fā)展向前&quot;進&quot;
    的頭像 發(fā)表于 07-15 09:53 ?282次閱讀
    全方位精準(zhǔn)測量技術(shù)助力:中國經(jīng)濟加力發(fā)展向前&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;進&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;