0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

一文了解FPGA雙端口RAM操作

Hx ? 作者:工程師陳翠 ? 2018-06-29 09:31 ? 次閱讀

如果需要重讀,需要用ram,如果不需要重讀的話就用FIFO

雙buffer不太好實現(xiàn)錯誤重傳機制?。。?!

雙buffer作用:

1、跨時鐘

2、完成數(shù)據(jù)位寬轉(zhuǎn)換

3、完成數(shù)據(jù)緩沖

雙buffer緩沖操作示意圖,在操作工程中存在兩個clock1 和clock2,但是輸入輸出的傳輸帶寬不能相差太大,會出現(xiàn)數(shù)據(jù)覆蓋的現(xiàn)象

一文了解FPGA雙端口RAM操作

1、如上圖所示,輸入端讀數(shù)據(jù)比寫數(shù)據(jù)速度要塊,這樣的話,在數(shù)據(jù)寫完后讓外部來讀,這樣在寫的過程中,空閑的時間,讀端,可以進(jìn)行數(shù)據(jù)操作等操作(100MHz * 8bit 《 75MHz * 16bit)

2、寫完一次數(shù)據(jù)就用選擇器選擇另外一個ram寫,在下降沿時切換,讀選擇器類似。

3、快時鐘域向慢時鐘域傳輸信號時,需要將寫入的片選信號data|_v延遲兩拍

testbeach中產(chǎn)生的數(shù)據(jù)思路

一文了解FPGA雙端口RAM操作

ISE產(chǎn)生的ipcore,不使用ISE自帶的simulation仿真,直接用modulesim仿真時出現(xiàn)加入的ipcore找不到相關(guān)文件,如圖所示

一文了解FPGA雙端口RAM操作

直接到該目錄下搜索,然后copy出來到你的工程文件中

一文了解FPGA雙端口RAM操作

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600530
  • RAM
    RAM
    +關(guān)注

    關(guān)注

    8

    文章

    1351

    瀏覽量

    114372
收藏 人收藏

    評論

    相關(guān)推薦

    利用FPGA實現(xiàn)RAM的設(shè)計及應(yīng)用

    利用FPGA實現(xiàn)RAM的設(shè)計及應(yīng)用 概述:為了在高速采集時不丟失數(shù)據(jù),在數(shù)據(jù)采集系統(tǒng)和
    發(fā)表于 04-16 14:08 ?1.1w次閱讀
    利用<b class='flag-5'>FPGA</b>實現(xiàn)<b class='flag-5'>雙</b>口<b class='flag-5'>RAM</b>的設(shè)計及應(yīng)用

    帶你了解FPGA直方圖操作

    度較低 對比度很高的圖像## FPGA功能分析 對于FPGA進(jìn)行直方圖操作的時候有兩種方式,種是真操作
    發(fā)表于 01-10 15:07

    FPGA開源教程連載】第十三章A 嵌入式RAM使用之端口RAM

    RAM,讀寫操作公用端口A的地址,數(shù)據(jù)通過端口A寫入和讀出;對于本節(jié)使用的端口
    發(fā)表于 01-02 09:40

    基于FPGARAM與PCI9O52接口設(shè)計

    。避免訪問沖突:f.可以獨立訪問端口。2 PCI和RAM之間的接口設(shè)計為了解決PCI9052和R
    發(fā)表于 12-12 10:27

    簡單的端口和真正的端口RAM之間的資源使用差異?

    你好,我想知道簡單的端口和真正的端口RAM之間的資源使用差異? True
    發(fā)表于 06-10 07:15

    介紹FPGA開發(fā)板內(nèi)部ram操作

    邏輯元素控制。 內(nèi)部RAM操作有許多參數(shù)會影響RAM操作。主要參數(shù)是可以同時訪問RAM的代理數(shù)。 “單
    發(fā)表于 09-10 11:11

    如何使用FPGA內(nèi)部的RAM以及程序?qū)υ?b class='flag-5'>RAM的數(shù)據(jù)讀寫操作

    Simple Dual Prot RAM,也就是偽RAM。般來講"Simple Dual Port RAM"是最常用的,因為它是兩個
    發(fā)表于 01-07 16:05

    請問端口RAM在高速數(shù)據(jù)采集中有什么應(yīng)用?

    FPGA中怎樣去構(gòu)造存儲器?如何利用庫函數(shù)去構(gòu)造端口RAM?庫函數(shù)法構(gòu)造端口
    發(fā)表于 04-14 06:57

    什么是RAM? 基于FPGARAM有哪些應(yīng)用?

    什么是RAM?基于FPGARAM有哪些應(yīng)用?
    發(fā)表于 05-06 07:41

    基于FPGARAM實現(xiàn)及應(yīng)用

      為了在高速采集時不丟失數(shù)據(jù),在數(shù)據(jù)采集系統(tǒng)和CPU之間設(shè)置個數(shù)據(jù)暫存區(qū)。介紹RAM的存儲原理及其在數(shù)字系統(tǒng)中的應(yīng)用。采用FPGA技術(shù)構(gòu)造
    發(fā)表于 02-11 11:20 ?69次下載

    基于Actel FPGA端口RAM設(shè)計

    基于Actel FPGA端口RAM 設(shè)計端口RAM
    發(fā)表于 11-15 17:44 ?82次下載

    端口RAM的并口設(shè)計應(yīng)用

    端口RAM的并口設(shè)計應(yīng)用 摘要:IDT7132/IDT7142是種高速2k×8端口靜態(tài)
    發(fā)表于 03-03 19:25 ?1853次閱讀
    <b class='flag-5'>雙</b><b class='flag-5'>端口</b><b class='flag-5'>RAM</b>的并口設(shè)計應(yīng)用

    端口RAM原理介紹及其應(yīng)用

    傳統(tǒng)的并行接口和串行接口設(shè)計無論在通信速率,還是在可靠性方面都不易滿足要求。而端口RAM則是個較好的實現(xiàn)方案。它具有通訊速率高、接口設(shè)計簡單等特點,因而在設(shè)計中得到廣
    發(fā)表于 12-29 09:45 ?2w次閱讀
    <b class='flag-5'>雙</b><b class='flag-5'>端口</b><b class='flag-5'>RAM</b>原理介紹及其應(yīng)用

    如何使用FPGA內(nèi)部的RAM以及程序?qū)υ?b class='flag-5'>RAM的數(shù)據(jù)讀寫操作

    RAMFPGA中常用的基礎(chǔ)模塊,可廣泛用于緩存數(shù)據(jù)的情況,同樣它也是ROM,F(xiàn)IFO的基礎(chǔ)。本實驗將為大家介紹如何使用FPGA內(nèi)部的RAM以及程序?qū)υ?/div>
    的頭像 發(fā)表于 02-08 15:50 ?1.4w次閱讀
    如何使用<b class='flag-5'>FPGA</b>內(nèi)部的<b class='flag-5'>RAM</b>以及程序?qū)υ?b class='flag-5'>RAM</b>的數(shù)據(jù)讀寫<b class='flag-5'>操作</b>

    fpgaram的使用

    FPGARAM的使用主要涉及配置和使用端口RAM模塊。
    的頭像 發(fā)表于 03-15 13:58 ?838次閱讀