0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA設(shè)計(jì)思想,速度和面積互換原則不可忽視

GReq_mcu168 ? 來源:互聯(lián)網(wǎng) ? 作者:佚名 ? 2017-12-18 09:41 ? 次閱讀

速度和面積互換原則。以面積換速度可以實(shí)現(xiàn)很高的數(shù)據(jù)吞吐率,其實(shí)串/并轉(zhuǎn)換、就是一種以面積換速度的思想

2.乒乓操作。

3.串/并轉(zhuǎn)換的思想。

高速數(shù)據(jù)處理的重要技巧之一。這里我來舉一個(gè)多相濾波器抽取的例子:

抽取之后,兩路數(shù)據(jù)以二分頻的速度進(jìn)行處理即可

4.流水線設(shè)計(jì)(在fir濾波器中表現(xiàn)很突出,一個(gè)時(shí)鐘輸出一個(gè)數(shù)據(jù))

流水線設(shè)計(jì)可以從某種程度上提高系統(tǒng)頻率。。前提是:設(shè)計(jì)可以分為若干步驟進(jìn)行處理,而且整個(gè)數(shù)據(jù)處理的過程是單向的,即沒有反饋或者逆運(yùn)算、前一個(gè)步驟的輸出是下一個(gè)步驟的輸入。。。

5.邏輯復(fù)制與模塊復(fù)用。

模塊復(fù)用在節(jié)省邏輯資源方面使用非常廣泛(舉個(gè)例子吧)

對(duì)比一下,不多說了,一例勝千言!

至于邏輯復(fù)制呢,以后再說,目前還沒碰到。把概念先抄上來:邏輯復(fù)制是一種通過增加面積來改善時(shí)序條件的優(yōu)化手段,其最重要的應(yīng)用是調(diào)整信號(hào)的扇出。換句話說,也就是其扇出非常大,那么為了增加這個(gè)信號(hào)的驅(qū)動(dòng)能力,就必須插入很多級(jí)的Buffer,這樣就在一定程度上增加了這個(gè)信號(hào)的路徑延時(shí)。這種情況下就可以賦值生成這個(gè)信號(hào)的邏輯,用多路同頻同相的信號(hào)驅(qū)動(dòng)后續(xù)電路,是平均到每路德扇出變低,這樣就不需要插入Buffer就能滿足驅(qū)動(dòng)能力增加的需求,從而節(jié)約該信號(hào)的路徑延時(shí)。

總之。模塊復(fù)用節(jié)省面積,犧牲速度,而邏輯復(fù)制正好相反。。

6.模塊化設(shè)計(jì)

就是自頂向下的設(shè)計(jì)方法。。不討論了、很簡(jiǎn)單有很難得東西。

7.時(shí)鐘設(shè)計(jì)技巧

盡量避免使用FPGA內(nèi)部邏輯產(chǎn)生的時(shí)鐘,因?yàn)樗苋菀讓?dǎo)致功能或時(shí)序出現(xiàn)問題。內(nèi)部組合邏輯產(chǎn)生的時(shí)鐘容易出現(xiàn)毛刺,影響設(shè)計(jì)的功能實(shí)現(xiàn);組合邏輯固有的延時(shí)也容易導(dǎo)致時(shí)序問題。

如果采用內(nèi)部組合邏輯產(chǎn)生的輸出作為時(shí)鐘信號(hào)或者異步復(fù)位信號(hào),可能會(huì)不可避免的出現(xiàn)毛刺。如果此時(shí)信號(hào)正處于變換過程,那么它將違反建立時(shí)間和保持時(shí)間的要求,從而影響后續(xù)電路的輸出狀態(tài),甚至導(dǎo)致整個(gè)系統(tǒng)運(yùn)行失敗。

如果要減少毛刺,最好用時(shí)鐘打一下。。達(dá)到同步處理的效果。

對(duì)于設(shè)計(jì)中需要用到的分頻時(shí)鐘,應(yīng)該盡量使用使能時(shí)鐘,讓分頻信號(hào)作為使能信號(hào)來使用。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600653
  • FPGA設(shè)計(jì)
    +關(guān)注

    關(guān)注

    9

    文章

    428

    瀏覽量

    26452
  • 可編程邏輯
    +關(guān)注

    關(guān)注

    7

    文章

    514

    瀏覽量

    44040
  • 智能硬件
    +關(guān)注

    關(guān)注

    205

    文章

    2323

    瀏覽量

    107320

原文標(biāo)題:FPGA重要設(shè)計(jì)思想

文章出處:【微信號(hào):mcu168,微信公眾號(hào):硬件攻城獅】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    速度面積互換設(shè)計(jì)原則簡(jiǎn)析

    速度和面積一直都是FPGA設(shè)計(jì)中非常重要的兩個(gè)指標(biāo)。所謂速度,是指整個(gè)工程穩(wěn)定運(yùn)行所能夠達(dá)到的最高時(shí)鐘頻率,它不僅和FPGA內(nèi)部各個(gè)寄存器的
    的頭像 發(fā)表于 04-10 11:07 ?1357次閱讀

    FPGA設(shè)計(jì)思想與技巧之串并轉(zhuǎn)換和流水線操作

    /CPLD邏輯設(shè)計(jì)的內(nèi)在規(guī)律的體現(xiàn),合理地采用這些設(shè)計(jì)思想能在FPGA/CPLD設(shè)計(jì)工作種取得事半功倍的效果。 串并轉(zhuǎn)換是 FPGA 設(shè)計(jì)的一個(gè)重要技巧,它是數(shù)據(jù)流處理的常用手段,也是面積
    發(fā)表于 02-10 11:40

    【設(shè)計(jì)技巧】FPGA設(shè)計(jì)思想與技巧匯總

    1、硬件設(shè)計(jì)基本原則(1)、速度面積平衡和互換原則:一個(gè)設(shè)計(jì)如果時(shí)序余量較大,所能跑的頻率遠(yuǎn)高于設(shè)計(jì)要求,能可以通過模塊復(fù)用來減少整個(gè)設(shè)
    發(fā)表于 07-17 08:00

    大神常用的四種FPGA/CPLD設(shè)計(jì)思想與技巧

    并轉(zhuǎn)換設(shè)計(jì)技巧串并轉(zhuǎn)換是FPGA設(shè)計(jì)的一個(gè)重要技巧,它是數(shù)據(jù)流處理的常用手段,也是面積速度互換思想的直接體現(xiàn)。prl_temp
    發(fā)表于 05-01 07:00

    學(xué)FPGA必備,FPGA設(shè)計(jì)的8大重要知識(shí)點(diǎn)。

    (SPRAM) 、 FIFO 等。6. 串并轉(zhuǎn)換設(shè)計(jì)技巧串并轉(zhuǎn)換是 FPGA 設(shè)計(jì)的一個(gè)重要技巧,它是數(shù)據(jù)流處理的常用手段,也是面積速度互換思想
    發(fā)表于 09-18 10:32

    FPGA設(shè)計(jì)基本原則及設(shè)計(jì)思想

    今天給大俠帶來FPGA設(shè)計(jì)基本原則思想,話不多說,上貨。FPGA設(shè)計(jì)基本原則思想一、硬件設(shè)計(jì)
    發(fā)表于 10-11 12:26

    FPGA設(shè)計(jì)的八個(gè)重要知識(shí)點(diǎn)

    1. 面積速度的平衡與互換 2. 硬件原則 3. 系統(tǒng)原4. 同步設(shè)計(jì)原則 5. 乒乓操作6. 串并轉(zhuǎn)換設(shè)計(jì)技巧7. 流水線操作設(shè)計(jì)
    發(fā)表于 09-08 10:09

    FPGA設(shè)計(jì)思想與技巧

    FPGA設(shè)計(jì)思想與技巧:這一部分主要介紹FPGA/CPLD設(shè)計(jì)的指導(dǎo)性原則,如FPGA 設(shè)計(jì)的基本原則
    發(fā)表于 01-11 09:00 ?34次下載

    FPGA設(shè)計(jì)的指導(dǎo)原則

    FPGA的基本設(shè)計(jì)原則,基本設(shè)計(jì)思想,基本操作技巧,常用模塊。如果大家有意識(shí)的用這些原則方法指導(dǎo)日后的的工作,那么會(huì)達(dá)到事半功倍
    發(fā)表于 02-18 11:53 ?1次下載

    FPGA設(shè)計(jì)的基本原則、技巧與時(shí)序電路設(shè)計(jì)

    FPGA設(shè)計(jì)的基本原則 面積速度折衷原則 面積速度
    發(fā)表于 11-25 03:57 ?1033次閱讀
    <b class='flag-5'>FPGA</b>設(shè)計(jì)的基本<b class='flag-5'>原則</b>、技巧與時(shí)序電路設(shè)計(jì)

    FPGA設(shè)計(jì)的十五條原則詳細(xì)解析

    1、硬件設(shè)計(jì)基本原則 (1)速度面積平衡和互換原則:一個(gè)設(shè)計(jì)如果時(shí)序余量較大,所能跑的頻率遠(yuǎn)高于設(shè)計(jì)要求,能可以通過模塊復(fù)用來減少整
    的頭像 發(fā)表于 12-19 17:19 ?6246次閱讀

    淺談FPGA設(shè)計(jì)的基本原則

    一、面積速度的平衡互換原則 這里的面積指的是 FPGA 的芯片資源,包括邏輯資源和 I/O 資
    的頭像 發(fā)表于 02-03 15:30 ?587次閱讀

    FPGA的指導(dǎo)性原則詳細(xì)資料說明

    這一部分主要介紹 FPGA/CPLD設(shè)計(jì)的指導(dǎo)性原則,如FPGA設(shè)計(jì)的基本原則、基本設(shè)計(jì)思想、基本操作技巧、常用模塊等。
    發(fā)表于 01-20 15:17 ?26次下載
    <b class='flag-5'>FPGA</b>的指導(dǎo)性<b class='flag-5'>原則</b>詳細(xì)資料說明

    RTL設(shè)計(jì)指導(dǎo)原則面積速度互換

    一般來說,面積是一個(gè)設(shè)計(jì)所消耗的目標(biāo)器件的硬件資源數(shù)量或者ASIC芯片的面積。
    的頭像 發(fā)表于 06-05 15:46 ?1220次閱讀
    RTL設(shè)計(jì)指導(dǎo)<b class='flag-5'>原則</b>之<b class='flag-5'>面積</b>和<b class='flag-5'>速度</b><b class='flag-5'>互換</b>

    FPGA速度-面積互換原則設(shè)計(jì)

    速度-面積互換原則是貫穿FPGA設(shè)計(jì)的重要原則速度
    的頭像 發(fā)表于 06-09 09:36 ?1575次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>速度</b>-<b class='flag-5'>面積</b><b class='flag-5'>互換</b><b class='flag-5'>原則</b>設(shè)計(jì)