0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

針對(duì)Linux BSP開(kāi)發(fā)的Petalinux,如何實(shí)現(xiàn)硬件工程導(dǎo)入

YCqV_FPGA_EETre ? 來(lái)源:互聯(lián)網(wǎng) ? 作者:佚名 ? 2018-02-27 11:01 ? 次閱讀

簡(jiǎn)介

作者:Alex He(何曄),賽靈思高級(jí)嵌入式應(yīng)用工程師

這里的 UIO 即 Userspace I/O,本文中 UIO 泛指 UIO 設(shè)備和 UIO 驅(qū)動(dòng)。它在 Linux kernel 的世界里比較小眾,主要是一些定制設(shè)備和相應(yīng)的驅(qū)動(dòng)。UIO內(nèi)核驅(qū)動(dòng)指負(fù)責(zé)將中斷和設(shè)備內(nèi)存暴露給用戶空間,再由UIO用戶態(tài)驅(qū)動(dòng)(Application)來(lái)實(shí)現(xiàn)具體的業(yè)務(wù),隨心所欲的玩。學(xué)術(shù)點(diǎn)叫做高度定制化,柔性設(shè)計(jì)。

那怎么和 FPGA 扯上了關(guān)系呢?是的,F(xiàn)PGA在硬件世界里也是隨心所欲的玩,這一硬一軟還真是登對(duì),在一起啊在一起。

本實(shí)驗(yàn)工程將介紹如何利在賽靈思異構(gòu)多處理器產(chǎn)品系列 Zynq UtralScale+ MPSoC ZCU102 嵌入式評(píng)估板上實(shí)現(xiàn)多個(gè) UIO,同時(shí)借助賽靈思的工具完成硬件工程和 linux BSP 的開(kāi)發(fā),最后通過(guò)測(cè)試應(yīng)用程序完成測(cè)試。

ZCU102上的 MPSoC 集成固化了四核 ARM Cortex-A53,雙核Cortex-R5 以及 Mali-400 MP2 GPU,這部分官方稱為PS(Processing System)。另外一部分就是FPGA,即 PL(Programmable Logic)。PS端實(shí)現(xiàn)控制,PL用來(lái)實(shí)現(xiàn)應(yīng)用加速,兩者通過(guò)AXI連接。跑這個(gè)小實(shí)驗(yàn),呵呵,大材小用。只是本人手頭正好有這個(gè)板子不得不裝。筒子們可以去買(mǎi)了個(gè)Zybo 或者ZedBoard 開(kāi)發(fā)板, 在板子試試身手。

實(shí) 驗(yàn) 報(bào) 告實(shí)驗(yàn)人員:本人

實(shí)驗(yàn)材料:

硬件設(shè)計(jì)

建立Vivado工程,適配 ZCU102 EVB。通過(guò) IP Integrator 加入PS,在 PL 側(cè)加入5個(gè)UIO輸入,其中1個(gè)是GPIO模塊(包含中斷輸出和設(shè)備內(nèi)存),另外4個(gè)是PIN連接到ZCU102 EVB上的DIP開(kāi)關(guān),作為中斷輸入通過(guò)一個(gè)concat IP連接到PS的ps_pl_irq管腳。板級(jí)細(xì)節(jié)請(qǐng)參考[1] UG1182,芯片資料參考[2] UG1085

添加PIN約束文件,

set_property PACKAGE_PIN AN13 [get_ports pl_irq_ll]

set_property IOSTANDARD LVCMOS33 [get_ports pl_irq_ll]

set_property PACKAGE_PIN AM14 [get_ports pl_irq_lh]

set_property IOSTANDARD LVCMOS33 [get_ports pl_irq_lh]

set_property PACKAGE_PIN AP14 [get_ports pl_irq_ef]

set_property IOSTANDARD LVCMOS33 [get_ports pl_irq_ef]

set_property PACKAGE_PIN AN14 [get_ports pl_irq_er]

set_property IOSTANDARD LVCMOS33 [get_ports pl_irq_er]

Vivado的圖形化的模塊設(shè)計(jì),豐富的IP庫(kù),加上可以上天的智能連接。有點(diǎn)數(shù)字電路設(shè)計(jì)的基礎(chǔ),很快就能完成這個(gè)小設(shè)計(jì)。整個(gè)設(shè)計(jì)如下圖。

軟件設(shè)計(jì)

這里用到 Xilinx 針對(duì) Linux BSP 開(kāi)發(fā)的 Petalinux。它基于Yocto,加入Xilinx的Layers實(shí)現(xiàn)硬件工程的導(dǎo)入,將復(fù)雜的Yocto的設(shè)計(jì)流程打包簡(jiǎn)化,支持一定的用戶自定義功能,如QEMU仿真運(yùn)行,增加 out-of-tree 的驅(qū)動(dòng),Device tree 修改,應(yīng)用程序編譯打包,等等。具體信息請(qǐng)移步 https://china.xilinx.com/products/design-tools/embedded-software/petalinux-sdk.html

這里簡(jiǎn)單展示一下具體的命令過(guò)程。

$petalinux-create -t project --template zynqMP -n zcu102-pl2ps_irq

$cd ./ zcu102-pl2ps_irq

$petalinux-config --get-hw-description

$petalinux-config -c kernel

Enable UIO_PDRV_GENIRQ driver

CONFIG_UIO=y

# CONFIG_UIO_CIF is not set

CONFIG_UIO_PDRV_GENIRQ=y

$petalinux-build -c device-tree

PL側(cè)的dtsi文件生成與./components/plnx_workspace/device-tree-generation/pl.dtsi

這里只有GPIO UIO。 PIN UIO因?yàn)椴皇荌P,所以相關(guān)信息無(wú)法由工具自動(dòng)生成。所以要做如下修改:

1. 修改GPIO UIO設(shè)備端點(diǎn)

1) 將中斷號(hào)改為93

2) 將compatible改成“generic-uio” //我們后面要用 Linux 自帶的 UIO_PDRV_GENIRQ 驅(qū)動(dòng)

2. 增加 DIP UIO 端點(diǎn)

1) 將compatible改成“generic-uio”

2) 依次設(shè)置中斷值89到93

3) 按照每個(gè) DIP PIN 的 interrupt trigger type 設(shè)置屬性值

*DTS里的中斷號(hào)與硬件中斷號(hào)有32的 offset。

Petalinux 提供了自定義DTS文件./project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi,將以上修改定義到system-user.dtsi.

有兩個(gè)方法來(lái)適配UIO端點(diǎn)和 UIO_PDRV_GENIRQ 驅(qū)動(dòng)

1. bootargs use “uio_pdrv_genirq.of_id=generic-uio”,可以通過(guò)DTS定義。

2. insmod uio_pdrv_genirq.ko of_id=generic-uio when install the driver

修改完后,編譯出Image.

$petalinu-build

$cd ./images/linux

$petalinux-package --boot --fsbl zynqmp_fsbl.elf --fpga --atf --pmufw --u-boot --force

將生成的BOOT.bin(bootloader)和image.ub(FIT uImage)拷貝到SD卡用于啟動(dòng)。\

測(cè)試

這里引用下關(guān)于uio_pdrv_genirq驅(qū)動(dòng)的介紹

https://01.org/linuxgraphics/gfx-docs/drm/driver-api/uio-howto.html

結(jié)合驅(qū)動(dòng)代碼./drviver/uio/uio_pdrv_genirq.c)可知,每個(gè)UIO設(shè)備會(huì)有對(duì)應(yīng)的/dev/uioX的設(shè)備節(jié)點(diǎn)。用戶態(tài)驅(qū)動(dòng)程序的讀操作會(huì)阻塞直到UIO硬件中斷發(fā)生。UIO的中斷處理程序uio_pdrv_denirq_handler()會(huì)關(guān)閉該硬件中斷。用戶態(tài)驅(qū)動(dòng)程序需要通過(guò)write函數(shù)來(lái)觸發(fā)uio_pdrv_genirq_irqcontrol()以完成中斷的使能和關(guān)閉。代碼如下,

啟動(dòng)內(nèi)核及加載uio_pdrv_genirq驅(qū)動(dòng)

檢查/proc/interrupts

細(xì)心的你一定發(fā)現(xiàn)了一個(gè)坑,少了2個(gè)UIO中斷(IRQ122和IRQ124),原來(lái)是硬件不支持Edge falling和Level Low的觸發(fā)模式。kernel log如下。

測(cè)試DIP UIO方法一

通過(guò)撥動(dòng)2個(gè)DIP,觀察到

2個(gè)DIP中斷發(fā)生了,可是不論怎么再撥動(dòng)DIP開(kāi)關(guān),始終是1。前文鋪墊過(guò),這個(gè)中斷在驅(qū)動(dòng)的中斷處理程序里會(huì)被關(guān)掉,需要通過(guò)應(yīng)用程序調(diào)用write()來(lái)打開(kāi)。這里有個(gè)easy way,使用萬(wàn)能的echo命令“echo 0x1 > /dev/uioX”,再配合DIP可以觸發(fā)多次中斷。

測(cè)試DIP UIO方法二

前面的方法比較low,這里有稍微高級(jí)的享受。寫(xiě)個(gè)簡(jiǎn)單的用戶態(tài)驅(qū)動(dòng)程序,上代碼。

借助petalinux提供的交叉編譯工具編譯出bin文件,拷貝到啟動(dòng)SD卡。

運(yùn)行測(cè)試程序并配合DIP開(kāi)關(guān)測(cè)試。(為了更好的體現(xiàn)測(cè)試運(yùn)行情況,在UIO內(nèi)核驅(qū)動(dòng)里增加了irqcontrol的調(diào)用打印)

測(cè)試GPIO UIO

UIO驅(qū)動(dòng)會(huì)將設(shè)備內(nèi)存(寄存器)空間枚舉出來(lái),由用戶態(tài)驅(qū)動(dòng)程序通過(guò)mmap導(dǎo)出進(jìn)行讀寫(xiě)控制。參見(jiàn)AXI_GPIO IP的文檔pg144-axi-gpio.pdf,其寄存器如下。

測(cè)試應(yīng)用程序會(huì)通過(guò)設(shè)置GIER和IP_IER來(lái)使能中斷。上代碼。

測(cè)試過(guò)程

或許你覺(jué)得這么貼圖代碼不厚道而不能施展復(fù)制黏貼大法,可不知我拙與WORD,沒(méi)try出好排版。莫急莫急,這里有GIT,https://gitenterprise.xilinx.com/AlexHe/UIO_Linux_Demo

硬件資源文件和Image,測(cè)試代碼一個(gè)都不能少,統(tǒng)統(tǒng)獻(xiàn)上。酸爽否?

實(shí) 驗(yàn) 結(jié) 論

UIO這種可高度自定義的設(shè)備結(jié)合Xilinx的MPSoC可以實(shí)現(xiàn)非常靈活的應(yīng)用。Xilinx提供的完備的工具集,給用戶帶來(lái)了高效的開(kāi)發(fā)體驗(yàn)。本例雖然簡(jiǎn)單,但Xilinx所推崇的All Programmable的概念和實(shí)際的FPGA加速應(yīng)用的的確確是建立在這些軟硬件協(xié)同技術(shù)之上。忘周知!

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600704
  • Linux
    +關(guān)注

    關(guān)注

    87

    文章

    11182

    瀏覽量

    208515
  • Xilinx
    +關(guān)注

    關(guān)注

    71

    文章

    2152

    瀏覽量

    120739

原文標(biāo)題:如何在 Zynq UltraScale+ MPSoC 上實(shí)現(xiàn) Linux UIO 設(shè)計(jì)

文章出處:【微信號(hào):FPGA-EETrend,微信公眾號(hào):FPGA開(kāi)發(fā)圈】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    cubeMX能直接導(dǎo)入開(kāi)發(fā)板的BSP嗎?

    開(kāi)發(fā)板用的是F7discovery,想用上邊的音頻DAC,能在cubeMX里設(shè)置對(duì)應(yīng)的功能,生成工程時(shí)自動(dòng)把cube庫(kù)driver下的BSP和Components里對(duì)應(yīng)的文件也導(dǎo)入
    發(fā)表于 05-10 06:49

    【Artix-7 50T FPGA試用體驗(yàn)】基于官方BSPPetaLinux工程創(chuàng)建

    BSP文件的存放路徑,由于本人利用虛擬機(jī)開(kāi)發(fā),所以路徑是共享文件夾。這樣就創(chuàng)建完一個(gè)PetaLinux工程硬件
    發(fā)表于 12-14 19:04

    如何使用ZCU102 Petalinux教程獲取BSP?

    我正在嘗試在ZCU102板上運(yùn)行一些Xilinx 10G'參考設(shè)計(jì)(XAPP1305),我想使用petalinux創(chuàng)建和部署linux,但不使用Xilinx為板提供BSP。我正在
    發(fā)表于 10-21 07:46

    定制linux系統(tǒng)命令的相關(guān)資料分享

    工程$cd $mkdir -p work/petalinux/petalinux-create -t project --template zynq -n zynq_linux 4.使
    發(fā)表于 12-20 07:46

    使用RT-Thread Studio導(dǎo)入BSP工程失敗,無(wú)法導(dǎo)入是為什么?

    使用RT studio導(dǎo)入BSP工程,無(wú)法導(dǎo)入,上報(bào)錯(cuò)誤!
    發(fā)表于 08-20 11:37

    基于Ubuntu的PetaLinux安裝教程

    PetalLinux是Xilinx公司推出的嵌入式Linux開(kāi)發(fā)工具,專門(mén)針對(duì)Xilinx公司的FPGA SoC芯片和開(kāi)發(fā)板,用戶可以在PetaLi
    的頭像 發(fā)表于 07-04 07:32 ?6461次閱讀

    Docker 構(gòu)建 PetaLinux 開(kāi)發(fā)環(huán)境應(yīng)用教程

    原有的搭建 PetaLinux 開(kāi)發(fā)環(huán)境的方式有什么問(wèn)題 實(shí)驗(yàn)室的項(xiàng)目基于 Xilinx 的 Zynq 系列 SoC 開(kāi)發(fā),需要使用 PetaLinux 構(gòu)建嵌入式
    發(fā)表于 11-15 11:24 ?2301次閱讀

    測(cè)試Petalinux BSP鏡像參考例子

    上測(cè)試預(yù)編譯鏡像 Petalinux BSP包括一個(gè)預(yù)構(gòu)建FPGAbitstream文件,可以令你在你的硬件上快速啟動(dòng)Linux。 從SD卡中啟動(dòng)鏡像(Zynq系列設(shè)備) 步驟: 1.
    發(fā)表于 11-15 11:34 ?2611次閱讀

    Petalinux BSP安裝與構(gòu)建過(guò)程

    Petalinux參考bsp可以讓用戶迅速啟動(dòng)。并且,這些設(shè)計(jì)可以作為用戶設(shè)計(jì)的基。Petalinux BSP是標(biāo)準(zhǔn)可安裝格式,包含啟動(dòng)所需的設(shè)計(jì)和配置文件。
    發(fā)表于 11-15 11:50 ?7880次閱讀

    Xilinx如何配置BSP工程包含的的公共模塊

    Xilinx的SoC在業(yè)界應(yīng)用非常廣泛。對(duì)應(yīng)的開(kāi)發(fā)工具SDK也很成熟。在SDK里,每一個(gè)baremetal工程,對(duì)應(yīng)一個(gè)BSP工程,它包含一些Xilinx提供的公共模塊,比如
    的頭像 發(fā)表于 10-09 12:22 ?3506次閱讀
    Xilinx如何配置<b class='flag-5'>BSP</b><b class='flag-5'>工程</b>包含的的公共模塊

    Docker里玩轉(zhuǎn)PetaLinux的上手教程

    是Xilinx推出的針對(duì)Zynq Soc, Zynq MPSOC嵌入式開(kāi)發(fā)板的基于Yocto二次封裝的Linux BSP構(gòu)建工具,支持Ubuntu/CentOS/RedHat等多個(gè)版本
    的頭像 發(fā)表于 12-25 14:30 ?2420次閱讀

    Petalinux工程中設(shè)備樹(shù)的介紹

    設(shè)備樹(shù)是 Petalinux kernel 的關(guān)鍵組件,接下來(lái)以 2020.1 版本為例,為大家介紹一下在Xilinx Petalinux 工程中的設(shè)備樹(shù)是如何產(chǎn)生,配置以及修改
    的頭像 發(fā)表于 02-20 16:32 ?6760次閱讀
    <b class='flag-5'>Petalinux</b><b class='flag-5'>工程</b>中設(shè)備樹(shù)的介紹

    如何在Petalinux創(chuàng)建Linux內(nèi)核模塊?

    創(chuàng)建內(nèi)核模塊 Petalinux可以幫助工程師簡(jiǎn)化內(nèi)核模塊的創(chuàng)建工作。在petalinux工程目錄下,使用命令“ petalinux-cre
    的頭像 發(fā)表于 03-02 11:10 ?4254次閱讀

    嵌入式系統(tǒng)硬件抽象層(HAL & BSP)的設(shè)計(jì)思想--第一部分

    抽象層的引入3.2 BSP的特點(diǎn)與功能3.3 BSP的設(shè)計(jì)與實(shí)現(xiàn)嵌入式系統(tǒng)初始化以及BSP的功能硬件相關(guān)的設(shè)備驅(qū)動(dòng)程序3.4 設(shè)計(jì)
    發(fā)表于 12-08 12:06 ?13次下載
    嵌入式系統(tǒng)<b class='flag-5'>硬件</b>抽象層(HAL & <b class='flag-5'>BSP</b>)的設(shè)計(jì)思想--第一部分

    如何配置Petalinux工程來(lái)從Flash啟動(dòng)Linux Kernel

    新版petalinux生成的u-boot是通過(guò)boot.scr來(lái)加載linux kernel的。如果我們用petalinux工程默認(rèn)配置和下面命令生成boot image并從flash
    的頭像 發(fā)表于 08-10 09:03 ?2159次閱讀