0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

單片機(jī)編程與芯片操作簡單總結(jié)

j4AI_wujianying ? 來源:未知 ? 作者:伍文輝 ? 2018-04-29 09:06 ? 次閱讀

一、單片機(jī)編程總結(jié)

1、要養(yǎng)成總結(jié)的好習(xí)慣,總結(jié)不僅是對(duì)自己學(xué)習(xí)的一個(gè)總結(jié),還是對(duì)學(xué)習(xí)過程的一個(gè)回顧與加深,還可避免第二次犯錯(cuò)。

2、編寫程序之前先要有一個(gè)對(duì)該項(xiàng)目熟悉的了解,做到心中有數(shù),列一個(gè)大致框架。仔細(xì)推敲該怎么布局,怎樣布局最合理,該步驟很重要。要分析先做哪個(gè)模塊,具體到該模塊的具體步驟,各個(gè)函數(shù)怎么命名,與其他模塊的銜接等。最好拿張紙記下重要過程。

3、對(duì)于c語言的模塊化編程,要先分好各個(gè)模塊,一個(gè)模塊一個(gè)模塊的編程,確定一個(gè)順序,按順序來,該模塊成功之后再編寫下一個(gè)。對(duì)于頭文件,當(dāng)該模塊編寫好之后再編寫該模塊的頭文件。

4、出現(xiàn)警告不要忽視,說明該程序一定有不合理之處,要弄清其來源,找到解決辦法。找來源時(shí)要有針對(duì)性,可上網(wǎng)搜一下該方面的資料,或向別人請(qǐng)教。例如,居然把另一個(gè)工程內(nèi)的main函數(shù)加入了這個(gè)工程。還有居然函數(shù)命名重復(fù)。還有根據(jù)實(shí)驗(yàn)現(xiàn)象分析原因,層層遞進(jìn)。還有端口定義時(shí)居然選錯(cuò)了接口。有時(shí),實(shí)在解決不了就休息一下,在想也挺好的。再簡單的地方也要注意一下,都有可能出錯(cuò)。

二、芯片操作簡單總結(jié)

對(duì)芯片的操作主要是對(duì)芯片內(nèi)寄存器的操作,芯片內(nèi)寄存器在存儲(chǔ)器上映射的都有自己的唯一地址,這也就是對(duì)相應(yīng)的地址的操作。看芯片,首先看時(shí)序圖,再了解相應(yīng)的寄存器,了解是如何操作的,定義需要的端口(程序可以識(shí)別),編寫寫操作程序和讀操作程序。

如何往芯片內(nèi)寫入數(shù)據(jù),如何讀出數(shù)據(jù),通過哪個(gè)端口輸入或讀出(最主要的地方)。

通過總線連接芯片時(shí),首先要了解該總線的協(xié)議。I2c總線連接的芯片,主要通過該總線去控制該芯片。

1、點(diǎn)陣中一個(gè)74hc595用于列的選擇,令外兩個(gè)用于顏色的選擇,點(diǎn)陣相當(dāng)于二極管的集合,

一端給高電平,另一端給低電平,二極管才能亮。只是一端選擇不同時(shí),亮不同的顏色。

定時(shí)器工作模式的選擇:高四位是設(shè)置定時(shí)器T1,低四位設(shè)置T0。然后各模式的后兩位設(shè)置工作模式。當(dāng)設(shè)置兩個(gè)定時(shí)器時(shí),注意使用或(|)。當(dāng)用中斷時(shí),注意進(jìn)入中斷后,該清零的要清零。

2、串口收發(fā):波特率的設(shè)置一般用模式2(自動(dòng)重裝初值),因?yàn)椴煌难b置,處理數(shù)據(jù)的能力不同,設(shè)置波特率主要為了照顧低速裝置及為了彼此間的通訊。中斷標(biāo)志位要軟件清零。設(shè)置串口中斷時(shí),收發(fā)無論哪一個(gè)產(chǎn)生都能進(jìn)入中斷函數(shù),因此要注意設(shè)置中斷函數(shù)。(自我感覺一般設(shè)置一種功能,當(dāng)做上位機(jī)或下位機(jī))。

發(fā)送用中斷的話,要解決第一次該怎么進(jìn)入中斷,因此首先要發(fā)送一次,此后就可以進(jìn)入中斷了。一次只能發(fā)一字節(jié),而且只有在TI置一之后才能發(fā)送下一位。

3、Pcf8591ad轉(zhuǎn)換,有四個(gè)通道的輸入,讀pcf8591時(shí),選通哪一個(gè)通道,讀的就是那個(gè)通道輸入的電壓,轉(zhuǎn)換后的數(shù)據(jù)存儲(chǔ)在該芯片內(nèi),再讀出。讀時(shí)先寫芯片的地址,在寫器件的子地址(0x40|通道號(hào)),然后就是讀出的數(shù)據(jù)。

4、Da轉(zhuǎn)換是先向芯片內(nèi)寫入器件地址,在寫子地址(0x40),在寫要轉(zhuǎn)換的數(shù)字量。

器件地址芯片資料有介紹。

5、對(duì)于液晶顯示,寫入數(shù)據(jù)顯示后,他會(huì)一直顯示,不用持續(xù)刷新,要想改變,只有重新輸入。

6、對(duì)于ds1302時(shí)鐘芯片,讀數(shù)據(jù)時(shí)是在寫入數(shù)據(jù)時(shí)的第八個(gè)時(shí)鐘下降沿就讀出第一位數(shù)據(jù)的的,然后再為下次輸出做準(zhǔn)備,注意程序的寫法,還要注意返回值放的位置。

7、Ds1302中先指明寄存器,再向其中寫入數(shù)據(jù)。芯片資料上的寄存器標(biāo)出的是地址。(寫保護(hù)處程序還不大明白,不是一直都有寫入嗎?為什么還打開寫保護(hù)?)

(根據(jù)前面的大俠,可以在初始化時(shí)間后設(shè)一標(biāo)志,有此標(biāo)志則不用再初始化時(shí)間。但是如果斷電后,MCURAM是無法保存這個(gè)標(biāo)志的,因此可以用DS1302的RAM保存該標(biāo)志,待上電后讀取該標(biāo)志。我也是初學(xué)者,最近也打算用DS1302。不知說法對(duì)不,我也還沒具體實(shí)施,多交流)

8、初始化最好還要寫一下,以防以后忘記。有時(shí)注意讀出或?qū)懭霑r(shí),首先操作的是最低位還是最高位,可根據(jù)時(shí)序圖判斷出。

9、對(duì)于紅外收發(fā),接收時(shí),他是根據(jù)兩個(gè)下降沿之間的時(shí)間長短來確定是高電平還是低電平,寫程序時(shí),先用定時(shí)器確定時(shí)間長短,保存,然后再轉(zhuǎn)化成二進(jìn)制(該程序?qū)懛ǘ嗫纯矗芎?。

10、步進(jìn)電機(jī):主要做開關(guān)用,步進(jìn)電機(jī)的力矩隨轉(zhuǎn)速的升高而降低。主要用在機(jī)床上零部件加工的自動(dòng)進(jìn)給。對(duì)有較高精度的控制場所都可也使用。

步進(jìn)電機(jī)是將電脈沖信號(hào)轉(zhuǎn)變?yōu)榻俏灰苹蚓€位移的開環(huán)控制元步進(jìn)電機(jī)件。在非超載的情況下,電機(jī)的轉(zhuǎn)速、停止的位置只取決于脈沖信號(hào)的頻率和脈沖數(shù),而不受負(fù)載變化的影響,當(dāng)步進(jìn)驅(qū)動(dòng)器接收到一個(gè)脈沖信號(hào),它就驅(qū)動(dòng)步進(jìn)電機(jī)按設(shè)定的方向轉(zhuǎn)動(dòng)一個(gè)固定的角度,稱為“步距角”,它的旋轉(zhuǎn)是以固定的角度一步一步運(yùn)行的??梢酝ㄟ^控制脈沖個(gè)數(shù)來控制角位移量,從而達(dá)到準(zhǔn)確定位的目的;同時(shí)可以通過控制脈沖頻率來控制電機(jī)轉(zhuǎn)動(dòng)的速度和加速度,從而達(dá)到調(diào)速的目的。

11、伺服電機(jī):(servo motor )是指在伺服系統(tǒng)中控制機(jī)械元件運(yùn)轉(zhuǎn)的發(fā)動(dòng)機(jī),是一種補(bǔ)助馬達(dá)間接變速裝置。伺服電機(jī)可使控制速度,位置精度非常準(zhǔn)確,可以將電壓信號(hào)轉(zhuǎn)化為轉(zhuǎn)矩和轉(zhuǎn)速以驅(qū)動(dòng)控制對(duì)象。伺服電機(jī)轉(zhuǎn)子轉(zhuǎn)速受輸入信號(hào)控制,并能快速反應(yīng),在自動(dòng)控制系統(tǒng)中,用作執(zhí)行元件,且具有機(jī)電時(shí)間常數(shù)小、線性度高、始動(dòng)電壓等特性,可把所收到的電信號(hào)轉(zhuǎn)換成電動(dòng)機(jī)軸上的角位移或角速度輸出。分為直流和交流伺服電動(dòng)機(jī)兩大類,其主要特點(diǎn)是,當(dāng)信號(hào)電壓為零時(shí)無自轉(zhuǎn)現(xiàn)象,轉(zhuǎn)速隨著轉(zhuǎn)矩的增加而勻速下降。

直流電機(jī):范圍較大,小車上都是。

12、漢字概覽:

為了將漢字在顯示器或打印機(jī)上輸出,把漢字按圖形符號(hào)設(shè)計(jì)成點(diǎn)陣圖,就得到了相應(yīng)的點(diǎn)陣代碼(字形碼)。

為在計(jì)算機(jī)內(nèi)表示漢字而統(tǒng)一的編碼方式形成漢字編碼叫內(nèi)碼(如國標(biāo)碼),內(nèi)碼是惟一的(相當(dāng)于該字的身份證號(hào))。為方便漢字輸入而形成的漢字編碼為輸入碼,屬于漢字的外碼,輸入碼因編碼方式不同而不同,是多種多樣的。為顯示和打印輸出漢字而形成的漢字編碼為字形碼,計(jì)算機(jī)通過漢字內(nèi)碼在字模庫中找出漢字的字形碼,實(shí)現(xiàn)其轉(zhuǎn)換。

機(jī)內(nèi)碼

根據(jù)國標(biāo)碼的規(guī)定,每一個(gè)漢字都有了確定的二進(jìn)制代碼,但是這個(gè)代碼在計(jì)算機(jī)內(nèi)部處理時(shí)會(huì)與ASCII碼發(fā)生沖突,為解決這個(gè)問題,把國標(biāo)碼的每一個(gè)字節(jié)的首位上加1。由于ASCII碼只用7位,所以,這個(gè)首位上的“1”就可以作為識(shí)別漢字代碼的標(biāo)志,計(jì)算機(jī)在處理到首位是“1”的代碼時(shí)把它理解為是漢字的信息,在處理到首位是“0”的代碼時(shí)把它理解為是ASCII碼。經(jīng)過這樣處理后的國標(biāo)碼(內(nèi)碼)就是機(jī)內(nèi)碼。

如果我們把這個(gè)“口”字圖形的“.”處用“0”代替,就可以很形象地得到“口”的字形碼:0000H 0004H 3FFAH 2004H 2004H 2004H 2004H 2004H 2004H 2004H 2004H2004H 3FFAH 2004H 0000H 0000H。計(jì)算機(jī)要輸出“口”時(shí),先找到顯示字庫的首址,根據(jù)“口”的機(jī)內(nèi)碼經(jīng)過計(jì)算,再去找到“口”的字形碼,然后根據(jù)字形碼(要用二進(jìn)制)通過字符發(fā)生器的控制在屏幕上進(jìn)行依次掃描,其中二進(jìn)制代碼中是“0”的地方空掃,是“1”的地方掃出亮點(diǎn),于是就可以得到“口”的字符圖形。

漢字字模按國標(biāo)碼的順序排列,以二進(jìn)制文件形式存放在存儲(chǔ)器中,構(gòu)成漢字字模字庫,亦稱為漢字字形庫,稱漢字庫

兩種編碼方法,見頭文件

GB1616.h

1 //------------------ 漢字字模的數(shù)據(jù)結(jié)構(gòu)定義 ------------------------//

2 struct typFNT_GB16 //漢字字模數(shù)據(jù)結(jié)構(gòu)

3 {

4 unsignedchar Index[3]; //漢字內(nèi)碼索引

5 unsignedchar Msk[32]; //點(diǎn)陣碼數(shù)據(jù)

6 };

7

8/////////////////////////////////////////////////////////////////////////

9// 漢字字模表 //

10 // 漢字庫: 宋體16.dot,橫向取模左高位,數(shù)據(jù)排列:從左到右從上到下 //

11 /////////////////////////////////////////////////////////////////////////

12 conststruct typFNT_GB16 codeGB_16[]= //數(shù)據(jù)表

13 {

14 /*------------------------------------------------------------------------------

15 ; 源文件 /文字 :徐

16 ; 寬×高(像素):16×16

17 ------------------------------------------------------------------------------*/

18 "徐",0x10,0x80,0x10,0x80,0x21,0x40,0x42,0x20,0x94,0x10,0x1B,0xEC,0x20,0x80,0x60,0x80,

19 0xAF,0xF8,0x20,0x80,0x22,0xA0,0x24,0x90,0x2A,0x88,0x21,0x00,0x00,0x00,0x00,0x00,

這個(gè)結(jié)構(gòu),很簡單的:一個(gè)是內(nèi)碼,一個(gè)點(diǎn)陣序列,以前的點(diǎn)陣庫是按內(nèi)碼順序放的,不需要內(nèi)碼索引的,如果只放部分漢字,就需要內(nèi)碼索引了。(前面的漢字“徐”是為了要輸出“徐”的時(shí)候找到該字的點(diǎn)陣序列,這個(gè)點(diǎn)陣序列是自己寫的,當(dāng)用1602顯示時(shí),因?yàn)樵撔酒瑑?nèi)存在英文的點(diǎn)陣序列,所以就不用寫了)一般內(nèi)碼兩個(gè)字節(jié)就行了,多用1個(gè)字節(jié)是加了個(gè)尾0而已,這樣,漢字內(nèi)碼處直接放漢字字符串就可;

codeGB_16[k].Index[0]

codeGB_16[k]說明有一個(gè)結(jié)構(gòu)體typFNT_GB16的數(shù)組叫做codeGB_16

codeGB_16[k]是數(shù)組中第k+1個(gè)成員

index是結(jié)構(gòu)體typFNT_GB16的成員,所以可以用codeGB_16[k].Index來進(jìn)行引用

同時(shí)index又是個(gè)數(shù)組,所以可以index[0]

if((codeGB_16[k].Index[0]==c[0])&&(codeGB_16[k].Index[1]==c[1]))

&&是 邏輯與運(yùn)算符

意思是 &&符號(hào)的兩邊的值都為真 &&的值才為真,也就是 true && true =true

這句的意思是

codeGB_16[k].Index[0]==c[0] 和 codeGB_16[k].Index[1]==c[1] 同時(shí)成立

if下面的語句才執(zhí)行

codeGB_16[]是個(gè)結(jié)構(gòu)體數(shù)組,codeGB_16[k].Index[0]是說結(jié)構(gòu)體數(shù)組的第K個(gè)結(jié)構(gòu)體的index成員的第0個(gè)元素值。

13、12864液晶:

每個(gè)顯示點(diǎn)對(duì)應(yīng)一位二進(jìn)制數(shù),1 表示亮,0 表示滅。存儲(chǔ)這些點(diǎn)陣信息的RAM稱為顯示數(shù)據(jù)存儲(chǔ)器。要顯示某個(gè)圖形或漢字就是將相應(yīng)的點(diǎn)陣信息寫入到相應(yīng)的存儲(chǔ)單元中。

繪圖RAM的地址計(jì)數(shù)器(AC)只會(huì)對(duì)水平地址(X 軸)自動(dòng)加一, 當(dāng)水平地址=0FH 時(shí)會(huì)重新設(shè)為00H 但并不會(huì)對(duì)垂直地址做進(jìn)位自動(dòng)加一,故當(dāng)連續(xù)寫入多筆資料時(shí),程序需

自行判斷垂直地址是否需重新設(shè)定

1、繪圖RAM(GDRAM)

繪圖顯示RAM提供128×8 個(gè)字節(jié)的記憶空間,在更改繪圖RAM時(shí),先連續(xù)寫入水平與垂直的坐標(biāo)值,再寫入兩個(gè)字節(jié)的數(shù)據(jù)到繪圖RAM,而地址計(jì)數(shù)器(AC)會(huì)對(duì)水平地址(X 地址)自動(dòng)加一,當(dāng)水平地址為0XFH 時(shí)會(huì)重新設(shè)為00H ;不會(huì)對(duì)垂直地址做進(jìn)位自動(dòng)加 1. 。在寫入繪圖 RAM的期間,繪圖顯示必須關(guān)閉,

[cpp] view plain copy// 顯示漢字

voiddispString (uchar X, Y,uchar *msg) //X為哪一行,Y 為哪一列。msg

為漢字

{

if(X==0) X = 0x80; // 第一行,漢字顯示坐標(biāo)

else if(X==1) X = 0x90; // 第二行

else if(X==2) X = 0x88; // 第三行

else X = 0x98; //第四行

Y = X + Y; //Y 為1 往右移一位

write_com(Y); // 寫入坐標(biāo)

while (*msg)

{

write_data(*msg++); //顯示漢字

}

}

//////////////////////////////// //////////////// ///////////////

// 顯示圖象

voiddisppicture(uchar code *adder)

{

uint i,j;

//*******顯示上半屏內(nèi)容設(shè)置

for(i=0;i<32;i++) // 上半屏32個(gè)列地址

{

write_com(0x80 + i); //SET 垂直地址 VERTICALADD

write_com(0x80); //SET 水平地址 HORIZONTAL ADD

for(j=0;j<16;j++)

{

write_data(*adder);

adder++;

}

}

//*******顯示下半屏內(nèi)容設(shè)置

for(i=0;i<32;i++) //

{

write_com(0x80 + i); //SET 垂直地址 VERTICALADD

write_com(0x88); //SET 水平地址 HORIZONTAL ADD

for(j=0;j<16;j++)

{

write_data(*adder);

adder++;

}

}

}

對(duì)于C語言,定義的變量,自動(dòng)為其分配空間,其地址為該變量的名稱。通過該名稱,可以在內(nèi)存中招到該數(shù)據(jù),經(jīng)過運(yùn)算得到新數(shù)據(jù),而匯編中需要編程者自己定義存儲(chǔ)空間及把數(shù)據(jù)送到累加器等進(jìn)行運(yùn)算,每一步都需要編程者操作。而C語言這些過程由編譯器去完成。

百度搜索:

①、單片機(jī)C語言,其變量的內(nèi)存開辟是如何進(jìn)行的?難道是編譯器,在編譯過程中智能地加入分配與回收的代碼?關(guān)鍵之處在于我所做的程序,如何保證其沒有內(nèi)存溢出錯(cuò)誤?如果我進(jìn)行的是遞歸運(yùn)算,這樣的話,內(nèi)存需求是很難自己計(jì)算的。

②、單片機(jī)C語言在變量定義上是否會(huì)受到約束?比如浮點(diǎn)型數(shù)據(jù)的乘除運(yùn)算,通過匯編還寫,代碼相當(dāng)復(fù)雜,如果直接C語言來寫,豈不過份簡單?

③、單片機(jī)C語言生成的hex文件中,指令及數(shù)據(jù)的ROM的地址分布是否編譯器自動(dòng)分配?可否用戶進(jìn)行分配?

c語言寫的單片機(jī)程序,先由1個(gè)程序(好像是c51.exe)編譯,編譯完成后,變量的存儲(chǔ)空間大小已經(jīng)安排好,只是還沒分配具體地址(地址浮動(dòng)),接下來有另一個(gè)程序(好像是a51.exe)進(jìn)行連接,連接以后,具體地址確定

回收代碼?應(yīng)該是回收存儲(chǔ)空間。

如果變量過多,編譯會(huì)提示數(shù)據(jù)段too large,要保證其沒有內(nèi)存溢出錯(cuò)誤,主要考慮堆棧是否溢出,要靠經(jīng)驗(yàn)

單片機(jī)c語言一般禁止遞歸,一般都避免用遞歸運(yùn)算,單片機(jī)畢竟不是PC,會(huì)影響速度的,要遞歸的話,用DSP芯片更合適,總之,要會(huì)挑合適的芯片

2:

變量的大小(位數(shù))一般和芯片累加器的位數(shù)一樣,比如51常用8位的,因?yàn)樗?位單片機(jī)

單片機(jī)可以定義位變量,但是不可以定義位數(shù)組

用c語言寫只是看著簡單,實(shí)際生成的代碼量是最多的,用于控制的單片機(jī)幾乎不用浮點(diǎn)數(shù)運(yùn)算,不僅慢還麻煩還占地方,如果是DSP芯片,本身有適合的硬件結(jié)構(gòu),會(huì)好很多

3:

一般是自動(dòng)分配的,

可以c語言和匯編語言混合編程,也可以用Keil C在線匯編

芯片與外部的數(shù)據(jù)交換都是通過端口進(jìn)行的。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    50031

    瀏覽量

    419863
  • 單片機(jī)
    +關(guān)注

    關(guān)注

    6026

    文章

    44459

    瀏覽量

    631236

原文標(biāo)題:初學(xué)單片機(jī)時(shí)總結(jié)的想法及一些程序

文章出處:【微信號(hào):wujianying_danpianji,微信公眾號(hào):單片機(jī)精講吳鑒鷹】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    單片機(jī)設(shè)計(jì)與KeilC編程總結(jié)

    本內(nèi)容總結(jié)了一些單片機(jī)設(shè)計(jì)與KeilC編程經(jīng)驗(yàn)規(guī)則來方面大家學(xué)習(xí)
    發(fā)表于 12-14 10:41 ?5531次閱讀

    AVR單片機(jī)與GCC編程

    AVR 單片機(jī)與GCC編程 目錄第一章 AVR 單片機(jī)開發(fā)概述1.1 一個(gè)簡簡單的例子1.2 用MAKEFILE 管理項(xiàng)目1.3 開發(fā)環(huán)境的配置第二章 存儲(chǔ)器
    發(fā)表于 02-10 11:23 ?231次下載

    學(xué)習(xí)單片機(jī)總結(jié)寶典

    學(xué)習(xí)單片機(jī)總結(jié)寶典 基于本人學(xué)習(xí)單片機(jī)的痛苦經(jīng)歷,特編寫本教程,以此獻(xiàn)給廣大 的單片機(jī)初學(xué)者,希望您能從中受益。 作者提示:本教程乃最通俗易懂
    發(fā)表于 04-14 14:49 ?2.5w次下載

    單片機(jī)應(yīng)用編程技巧

    單片機(jī)應(yīng)用編程技巧
    發(fā)表于 01-23 20:48 ?41次下載

    怎么由芯片的時(shí)序圖寫程序?_單片機(jī)對(duì)1602液晶時(shí)序圖編程設(shè)計(jì)

    如何看懂芯片的時(shí)序圖,進(jìn)行編程設(shè)計(jì)是單片機(jī)對(duì)外接芯片進(jìn)行操作的基礎(chǔ)。本文以1602為例,解析單片機(jī)
    發(fā)表于 01-06 10:13 ?1.1w次閱讀
    怎么由<b class='flag-5'>芯片</b>的時(shí)序圖寫程序?_<b class='flag-5'>單片機(jī)</b>對(duì)1602液晶時(shí)序圖<b class='flag-5'>編程</b>設(shè)計(jì)

    51單片機(jī)、AVR單片機(jī)和PIC單片機(jī)的IO口操作

    和PIC單片機(jī)IO口的操作的方法。 一.51單片機(jī)IO口的操作 51單片機(jī)IO口的結(jié)構(gòu)比較簡單,
    發(fā)表于 02-20 20:25 ?1.1w次閱讀
    51<b class='flag-5'>單片機(jī)</b>、AVR<b class='flag-5'>單片機(jī)</b>和PIC<b class='flag-5'>單片機(jī)</b>的IO口<b class='flag-5'>操作</b>

    單片機(jī)編程芯片操作簡單總結(jié)

    如果我們把這個(gè)“口”字圖形的“.”處用“0”代替,就可以很形象地得到“口”的字形碼:0000H 0004H 3FFAH 2004H 2004H 2004H 2004H 2004H 2004H 2004H 2004H2004H 3FFAH 2004H 0000H 0000H。計(jì)算機(jī)要輸出“口”時(shí),先找到顯示字庫的首址,根據(jù)“口”的機(jī)內(nèi)碼經(jīng)過計(jì)算,再去找到“口”的字形碼,然后根據(jù)字形碼(要用二進(jìn)制)通過字符發(fā)生器的控制在屏幕上進(jìn)行依次掃描,其中二進(jìn)制代碼中是“0”的地方空掃,是“1”的地方掃出亮點(diǎn),于是就可以得到“口”的字符圖形。
    的頭像 發(fā)表于 04-02 09:08 ?9911次閱讀

    單片機(jī)的最簡單的幾個(gè)編程程序免費(fèi)下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是單片機(jī)簡單的幾個(gè)編程程序免費(fèi)下載包括了:獨(dú)立鍵盤,矩陣鍵盤
    發(fā)表于 07-18 17:38 ?2次下載
    <b class='flag-5'>單片機(jī)</b>的最<b class='flag-5'>簡單</b>的幾個(gè)<b class='flag-5'>編程</b>程序免費(fèi)下載

    單片機(jī)編程操作系統(tǒng)綜述

    本文分為兩部分——“單片機(jī)編程篇”和“操作系統(tǒng)篇”。單片機(jī)編程篇主要介紹單片機(jī)的各種
    發(fā)表于 05-08 11:12 ?40次下載

    單片機(jī)入門匯編程序--直流電機(jī)的單片機(jī)控制

    單片機(jī)入門匯編程序--直流電機(jī)的單片機(jī)控制(15kvaups電源技術(shù)協(xié)議)-該文檔為單片機(jī)入門匯編程序--直流電機(jī)的
    發(fā)表于 09-22 14:37 ?38次下載
    <b class='flag-5'>單片機(jī)</b>入門匯<b class='flag-5'>編程</b>序--直流電機(jī)的<b class='flag-5'>單片機(jī)</b>控制

    單片機(jī)IO口操作總結(jié)

    單片機(jī)IO口操作總結(jié)一、單片機(jī)IO口概述二、單片機(jī)IO口操作1、輸入口使用2、輸出口使用三、關(guān)于
    發(fā)表于 11-05 13:06 ?31次下載
    <b class='flag-5'>單片機(jī)</b>IO口<b class='flag-5'>操作</b><b class='flag-5'>總結(jié)</b>

    單片機(jī)簡單介紹

    各位同學(xué)好,這是我的第一個(gè)博客;如有錯(cuò)誤,希望大家向我提出,希望各位見諒;下面我們先介紹一下什么是單片機(jī);什么是單片機(jī)?將CPU芯片,存儲(chǔ)器芯片,I/O接口
    發(fā)表于 11-11 10:21 ?9次下載
    <b class='flag-5'>單片機(jī)</b>的<b class='flag-5'>簡單</b>介紹

    單片機(jī)如何使用?51單片機(jī)C語言編程實(shí)例有哪些?

    大家好,我是無際單片機(jī)編程的徐明,今天和大家一起探討一下”單片機(jī)如何使用?”單片機(jī)如何使用,我們要知道單片機(jī)在哪里使用?
    發(fā)表于 11-23 10:21 ?17次下載
    <b class='flag-5'>單片機(jī)</b>如何使用?51<b class='flag-5'>單片機(jī)</b>C語言<b class='flag-5'>編程</b>實(shí)例有哪些?

    單片機(jī)技術(shù)及原理》實(shí)驗(yàn)總結(jié)

    單片機(jī)技術(shù)及原理》實(shí)驗(yàn)總結(jié)
    發(fā)表于 11-23 18:06 ?4次下載
    《<b class='flag-5'>單片機(jī)</b>技術(shù)及原理》實(shí)驗(yàn)<b class='flag-5'>總結(jié)</b>

    單片機(jī)編程實(shí)例總結(jié)

    單片機(jī)編程實(shí)例總結(jié)
    的頭像 發(fā)表于 01-16 09:17 ?933次閱讀