0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

幾個FPGA時序優(yōu)化簡單技巧

DIri_ALIFPGA ? 來源:未知 ? 作者:胡薇 ? 2018-05-11 10:35 ? 次閱讀

我知道,我對與電子有關的所有事情都很著迷,但不論從哪個角度看,今天的現(xiàn)場可編程門陣列(FPGA),都顯得“鶴立雞群”,真是非常棒的器件。如果在這個智能時代,在這個領域,想擁有一技之長的你還沒有關注FPGA,那么世界將拋棄你,時代將拋棄你。

盡量用硬核,比如硬件乘法器,這個應該都知道。

結構上的pipeline,簡言之就是“拆",最極端的情形是拆到源和目的Reg間只有基本的組合邏輯門,比如說~a & b之類...;當然FPGA里實際不必這樣,打個比方,兩個xbit的數(shù)據(jù)做比較,若芯片內(nèi)是4輸入LUT,若有pipeline的必要,那么流水級最多用[log4(x)]+1就夠了。

系統(tǒng)上的流水,也就是打拍,副作用是帶來latency;這是最常見的方式之一,但有的情形下不允許。

異步, 劃分不同時鐘域;比如說系統(tǒng)主體可以工作在100M-,特定的子系統(tǒng)要求必須工作在300M+,那么可以將特定模塊劃分到不同的時鐘域里;但異步時鐘域不宜太多。

綜合時使用retiming,duplication;physical synthesis優(yōu)化,現(xiàn)在的綜合器這方面已經(jīng)足夠聰明了。

預算允許可使用速度更快的芯片;這個也許是實現(xiàn) “不修改RTL又時序收斂” 的最可能的方式。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1624

    文章

    21573

    瀏覽量

    600699
  • 時序優(yōu)化

    關注

    0

    文章

    4

    瀏覽量

    1445

原文標題:FPGA時序優(yōu)化簡單竅門

文章出處:【微信號:ALIFPGA,微信公眾號:FPGA極客空間】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    FPGA時序約束的基礎知識

    FPGA開發(fā)過程中,離不開時序約束,那么時序約束是什么?簡單點說,FPGA芯片中的邏輯電路,從輸入到輸出所需要的時間,這個時間必須在設定的時
    發(fā)表于 06-06 17:53 ?1377次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時序</b>約束的基礎知識

    FPGA中的I_O時序優(yōu)化設計

    FPGA中的I_O時序優(yōu)化設計在數(shù)字系統(tǒng)的同步接口設計中, 可編程邏輯器件的輸入輸出往往需要和周圍新片對接,此時IPO接口的時序問題顯得尤為重要。介紹了幾種
    發(fā)表于 08-12 11:57

    FPGA時序優(yōu)化高級研修班

    FPGA時序優(yōu)化高級研修班通知通過設立四大專題,幫助工程師更加深入理解FPGA時序,并掌握時序
    發(fā)表于 03-27 15:20

    大西瓜FPGA--FPGA設計高級篇--時序分析技巧

    。掌握分析和確定關鍵路徑時序的方法,并通過分析找出關鍵路徑的時序問題,再對關鍵路徑進行優(yōu)化,通過RTL層面的不斷優(yōu)化,不斷修煉自己的設計能力,讓設計出來的電路更為靠譜有效!本資料屬大西
    發(fā)表于 02-26 09:42

    幾個FPGA時序優(yōu)化簡單技巧

    特定模塊劃分到不同的時鐘域里;但異步時鐘域不宜太多。綜合時使用retiming,duplication;physical synthesis優(yōu)化,現(xiàn)在的綜合器這方面已經(jīng)足夠聰明了。預算允許可使用速度更快的芯片;這個也許是實現(xiàn) “不修改RTL又時序收斂” 的最可能的方式。
    發(fā)表于 06-07 17:55

    靜態(tài)時序優(yōu)化策略有哪些?

    變則通,通則久。事物都有其運行的規(guī)律,把握好規(guī)律,就能更好的實現(xiàn)人的目的。在數(shù)字后端設計中,時序優(yōu)化一直是關鍵問題,尤其追求高頻高性能的設計中,時許問題常常貫穿始終。大大小小二十幾個項目模塊后端工作
    發(fā)表于 12-10 07:37

    如何有效的管理FPGA設計中的時序問題

    如何有效的管理FPGA設計中的時序問題 當FPGA設計面臨到高級接口的設計問題時,EMA的TimingDesigner可以簡化這些設計問題,并提供對幾乎所有接口的預先精確控制。從簡單
    發(fā)表于 04-15 14:19 ?705次閱讀
    如何有效的管理<b class='flag-5'>FPGA</b>設計中的<b class='flag-5'>時序</b>問題

    FPGA設計:時序是關鍵

    當你的FPGA設計不能滿足時序要求時,原因也許并不明顯。解決方案不僅僅依賴于使用FPGA的實現(xiàn)工具來優(yōu)化設計從而滿足時序要求,也需要設計者具
    發(fā)表于 08-15 14:22 ?1248次閱讀

    FPGA中的時序約束設計

    一個好的FPGA設計一定是包含兩個層面:良好的代碼風格和合理的約束。時序約束作為FPGA設計中不可或缺的一部分,已發(fā)揮著越來越重要的作用。毋庸置疑,時序約束的最終目的是實現(xiàn)
    發(fā)表于 11-17 07:54 ?2521次閱讀
    <b class='flag-5'>FPGA</b>中的<b class='flag-5'>時序</b>約束設計

    基于FPGA時序優(yōu)化設計

    現(xiàn)有的工具和技術可幫助您有效地實現(xiàn)時序性能目標。當您的FPGA 設計無法滿足時序性能目標時,其原因可能并不明顯。解決方案不僅取決于FPGA 實現(xiàn)工具為滿足
    發(fā)表于 11-18 04:32 ?3239次閱讀

    正點原子FPGA靜態(tài)時序分析與時序約束教程

    時序分析結果,并根據(jù)設計者的修復使設計完全滿足時序約束的要求。本章包括以下幾個部分: 1.1 靜態(tài)時序分析簡介 1.2 FPGA 設計流程
    發(fā)表于 11-11 08:00 ?62次下載
    正點原子<b class='flag-5'>FPGA</b>靜態(tài)<b class='flag-5'>時序</b>分析與<b class='flag-5'>時序</b>約束教程

    時序分析的優(yōu)化策略詳細說明

    本文檔的主要內(nèi)容詳細介紹的是FPGA時序分析的優(yōu)化策略詳細說明。
    發(fā)表于 01-14 16:03 ?17次下載
    <b class='flag-5'>時序</b>分析的<b class='flag-5'>優(yōu)化</b>策略詳細說明

    時序分析的優(yōu)化策略詳細說明

    本文檔的主要內(nèi)容詳細介紹的是FPGA時序分析的優(yōu)化策略詳細說明。
    發(fā)表于 01-14 16:03 ?19次下載
    <b class='flag-5'>時序</b>分析的<b class='flag-5'>優(yōu)化</b>策略詳細說明

    分享幾個時序圖的軟件

    既可以用于系統(tǒng)級的設計,用于時序分析和文檔編制,也用于ASIC/FPGA設計中,用于接口規(guī)范,以及創(chuàng)建SDC時序約束。
    的頭像 發(fā)表于 11-21 11:36 ?2782次閱讀

    FPGA時序約束的原理是什么?

    FPGA開發(fā)過程中,離不開時序約束,那么時序約束是什么?簡單點說,FPGA芯片中的邏輯電路,從輸入到輸出所需要的時間,這個時間必須在設定的時
    發(fā)表于 06-26 14:42 ?633次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時序</b>約束的原理是什么?